OpenCores
URL https://opencores.org/ocsvn/fft2_size/fft2_size/trunk

Subversion Repositories fft2_size

[/] [fft2_size/] [fft_int/] [bitrev.sv] - Rev 9

Compare with Previous | Blame | View Log

`ifndef _bitrev_
`define _bitrev_

module bitrev #(parameter WIDTH)(input [WIDTH-1:0] x, output [WIDTH-1:0] y);
        genvar i;
        generate for (i = 0; i < WIDTH; i++)
                begin :gen
                        assign y[WIDTH-1-i] = x[i];
                end
        endgenerate
endmodule :bitrev

`endif

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.