OpenCores
URL https://opencores.org/ocsvn/fluid_core_2/fluid_core_2/trunk

Subversion Repositories fluid_core_2

[/] [fluid_core_2/] [trunk/] [xilinx14.5 project/] [uop check.wcfg] - Rev 4

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="C:/Users/Azmath/Documents/M Tech Project/FC2/tb_Test_Bed_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="glbl" />
            <top_module name="tb_Test_Bed" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="15" />
   <wvobject fp_name="/tb_Test_Bed/Clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">Clk</obj_property>
      <obj_property name="ObjectShortName">Clk</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/RST" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">RST</obj_property>
      <obj_property name="ObjectShortName">RST</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/write" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">write</obj_property>
      <obj_property name="ObjectShortName">write</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_Hist_inst/load_hazard_abs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">load_hazard_abs[0:2]</obj_property>
      <obj_property name="ObjectShortName">load_hazard_abs[0:2]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/write_vector" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">write_vector[0:4]</obj_property>
      <obj_property name="ObjectShortName">write_vector[0:4]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/WB_Stage_inst/wb_dst" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">wb_dst[0:4]</obj_property>
      <obj_property name="ObjectShortName">wb_dst[0:4]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/WB_Stage_inst/WB_Dest" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">WB_Dest[0:1]</obj_property>
      <obj_property name="ObjectShortName">WB_Dest[0:1]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/WB_Stage_inst/write_uop" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">write_uop</obj_property>
      <obj_property name="ObjectShortName">write_uop</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/write_uop" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">write_uop[0:12]</obj_property>
      <obj_property name="ObjectShortName">write_uop[0:12]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/Interrupt" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">Interrupt[0:3]</obj_property>
      <obj_property name="ObjectShortName">Interrupt[0:3]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">uOP_rom[0:25,0:12]</obj_property>
      <obj_property name="ObjectShortName">uOP_rom[0:25,0:12]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[0]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[0,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[0,0:12]</obj_property>
         <obj_property name="Radix">BINARYRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[1]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[1,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[1,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[2]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[2,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[2,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[3]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[3,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[3,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[4]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[4,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[4,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[5]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[5,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[5,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[6]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[6,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[6,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[7]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[7,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[7,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[8]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[8,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[8,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[9]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[9,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[9,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[10]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[10,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[10,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[11]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[11,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[11,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[12]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[12,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[12,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[13]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[13,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[13,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[14]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[14,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[14,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[15]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[15,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[15,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[16]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[16,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[16,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[17]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[17,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[17,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[18]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[18,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[18,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[19]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[19,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[19,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[20]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[20,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[20,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[21]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[21,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[21,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[22]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[22,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[22,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[23]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[23,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[23,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[24]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[24,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[24,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/uOP_Store_inst/uOP_rom[25]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[25,0:12]</obj_property>
         <obj_property name="ObjectShortName">uOP_rom[25,0:12]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/EX_Stage_inst/barrel_shifter_inst/Result" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">Result[0:31]</obj_property>
      <obj_property name="ObjectShortName">Result[0:31]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/EX_Stage_inst/barrel_shifter_inst/OP1" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">OP1[0:31]</obj_property>
      <obj_property name="ObjectShortName">OP1[0:31]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/EX_Stage_inst/barrel_shifter_inst/OP2" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">OP2[0:31]</obj_property>
      <obj_property name="ObjectShortName">OP2[0:31]</obj_property>
   </wvobject>
   <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">registers[0:7,0:31]</obj_property>
      <obj_property name="ObjectShortName">registers[0:7,0:31]</obj_property>
      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[0]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[0,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[0,0:31]</obj_property>
         <obj_property name="Radix">BINARYRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[1]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[1,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[1,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[2]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[2,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[2,0:31]</obj_property>
         <obj_property name="Radix">BINARYRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[3]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[3,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[3,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[4]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[4,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[4,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[5]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[5,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[5,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[6]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[6,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[6,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
      <wvobject fp_name="/tb_Test_Bed/uut/FC_inst/Reg_File_inst/registers[7]" type="array" db_ref_id="1">
         <obj_property name="ElementShortName">[7,0:31]</obj_property>
         <obj_property name="ObjectShortName">registers[7,0:31]</obj_property>
         <obj_property name="Radix">HEXRADIX</obj_property>
      </wvobject>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.