OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [PATLPP/] [alunit/] [alunit.v] - Rev 2

Compare with Previous | Blame | View Log

// ALU for PATLPP
 
module alunit
(
	input				[15:0]	op0,
	input				[15:0]	op1,
	input				[1:0]		op,
	output	reg	[15:0]	res
);
 
always @(op0 or op1 or op)
begin
	case (op)
		0: res <= op0 + op1;
		1: res <= op0 - op1;
		2: res <= op0 & op1;
		3: res <= op0 | op1;
	endcase
end
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.