OpenCores
URL https://opencores.org/ocsvn/fpga-cf/fpga-cf/trunk

Subversion Repositories fpga-cf

[/] [fpga-cf/] [trunk/] [hdl/] [PATLPP/] [checksum/] [sim.do] - Rev 13

Go to most recent revision | Compare with Previous | Blame | View Log

quit -sim
vlog checksum.v
vlog checksum_tb.v

vsim -L unisims_ver -voptargs=+acc checksum_tb

add wave -hex sim:/checksum_tb/*
add wave -noupdate -divider {Checksum Unit}
add wave -hex sim:/checksum_tb/dut/*

run 300ns

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.