OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [ip.hwp.communication/] [hibi/] [3.0/] [ip_xact/] [hibi_segment_6p.design.3.0.xml] - Rev 174

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 14.01.2013 -->
<!-- Time: 12:21:23 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
        <spirit:vendor>TUT</spirit:vendor>
        <spirit:library>ip.hwp.communication</spirit:library>
        <spirit:name>hibi_segment_6p.design</spirit:name>
        <spirit:version>3.0</spirit:version>
        <spirit:componentInstances>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_wrapper_r4_2</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_2_addr_start</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_2_addr_end</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="prior_g">3</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="770" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="bus_mMaster">
                                                <kactus2:position x="-80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="bus_mSlave">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks">
                                                <kactus2:position x="80" y="100"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster">
                                                <kactus2:position x="-80" y="90"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave">
                                                <kactus2:position x="80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="110"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities>
                                        <kactus2:adHocVisible portName="debug_out" x="80" y="130"/>
                                </kactus2:adHocVisibilities>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_wrapper_r4_3</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_3_addr_start</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_3_addr_end</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="prior_g">4</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="770" y="250"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="bus_mMaster">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="bus_mSlave">
                                                <kactus2:position x="-80" y="170"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks">
                                                <kactus2:position x="-80" y="90"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster">
                                                <kactus2:position x="-80" y="110"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave">
                                                <kactus2:position x="-80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="200"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities>
                                        <kactus2:adHocVisible portName="debug_out" x="-80" y="240"/>
                                </kactus2:adHocVisibilities>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_wrapper_r4_5</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_5_addr_start</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_5_addr_end</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="prior_g">6</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="770" y="550"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="bus_mMaster">
                                                <kactus2:position x="-80" y="120"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="bus_mSlave">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks">
                                                <kactus2:position x="-80" y="290"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster">
                                                <kactus2:position x="-80" y="180"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave">
                                                <kactus2:position x="-80" y="140"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities>
                                        <kactus2:adHocVisible portName="debug_out" x="-80" y="100"/>
                                </kactus2:adHocVisibilities>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_orbus_6p_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_orbus_6p" spirit:version="3.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="510" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="master">
                                                <kactus2:position x="-80" y="220"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_0">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_1">
                                                <kactus2:position x="-80" y="340"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_2">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_3">
                                                <kactus2:position x="80" y="350"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_4">
                                                <kactus2:position x="-80" y="520"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_5">
                                                <kactus2:position x="80" y="510"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_1_addr_start</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_1_addr_end</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="prior_g">2</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="250" y="300"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="bus_mMaster">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="bus_mSlave">
                                                <kactus2:position x="80" y="110"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks">
                                                <kactus2:position x="-80" y="180"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster">
                                                <kactus2:position x="-80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="140"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities>
                                        <kactus2:adHocVisible portName="debug_out" x="-80" y="200"/>
                                </kactus2:adHocVisibilities>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_wrapper_r4_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_0_addr_start</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_0_addr_end</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="prior_g">1</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="250" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="bus_mMaster">
                                                <kactus2:position x="80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="bus_mSlave">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks">
                                                <kactus2:position x="-80" y="120"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="140"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities>
                                        <kactus2:adHocVisible portName="debug_out" x="-80" y="180"/>
                                </kactus2:adHocVisibilities>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_wrapper_r4_4</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="addr_g">ip_mSlave_4_addr_start</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="addr_limit_g">ip_mSlave_4_addr_end</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="n_agents_g">6</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="prior_g">5</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="250" y="560"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="bus_mMaster">
                                                <kactus2:position x="80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="bus_mSlave">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster">
                                                <kactus2:position x="-80" y="190"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave">
                                                <kactus2:position x="-80" y="170"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities>
                                        <kactus2:adHocVisible portName="debug_out" x="-80" y="130"/>
                                </kactus2:adHocVisibilities>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
        </spirit:componentInstances>
        <spirit:interconnections>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_0"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_1"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_3"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="bus_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_4"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="bus_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_2"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="bus_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_6p_0" spirit:busRef="slave_5"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="bus_mSlave"/>
                </spirit:interconnection>
        </spirit:interconnections>
        <spirit:hierConnections>
                <spirit:hierConnection spirit:interfaceRef="ip_mSlave_5">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mSlave"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="890"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="690"/>
                                        <kactus2:position x="90" y="890"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mMaster_5">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="ip_mMaster"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="920"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="730"/>
                                        <kactus2:position x="90" y="920"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="clocks_5">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="clocks"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="860"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="840"/>
                                        <kactus2:position x="90" y="860"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="clocks_4">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="clocks"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="60" y="640"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="false">
                                        <kactus2:position x="170" y="640"/>
                                        <kactus2:position x="60" y="640"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mMaster_4">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mMaster"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="60" y="790"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="false">
                                        <kactus2:position x="170" y="750"/>
                                        <kactus2:position x="80" y="750"/>
                                        <kactus2:position x="80" y="790"/>
                                        <kactus2:position x="60" y="790"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mSlave_4">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="ip_mSlave"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="60" y="760"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="false">
                                        <kactus2:position x="170" y="730"/>
                                        <kactus2:position x="80" y="730"/>
                                        <kactus2:position x="80" y="760"/>
                                        <kactus2:position x="60" y="760"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_5" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="830"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="630"/>
                                        <kactus2:position x="90" y="830"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_4" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="830"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="600"/>
                                        <kactus2:position x="90" y="830"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="830"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="440"/>
                                        <kactus2:position x="90" y="830"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="830"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="200"/>
                                        <kactus2:position x="90" y="830"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mMaster_0">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="430"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="140"/>
                                        <kactus2:position x="90" y="430"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mSlave_0">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mSlave"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="100"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="100"/>
                                        <kactus2:position x="90" y="100"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="clocks_1">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="clocks"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="610"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="480"/>
                                        <kactus2:position x="90" y="610"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mMaster_1">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="310"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="370"/>
                                        <kactus2:position x="90" y="310"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mSlave_1">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="400"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="340"/>
                                        <kactus2:position x="90" y="400"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="830"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="170"/>
                                        <kactus2:position x="90" y="830"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="rst_n">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="rst_n"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="830"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="450"/>
                                        <kactus2:position x="90" y="830"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mMaster_3">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mMaster"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="520"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="360"/>
                                        <kactus2:position x="90" y="520"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mMaster_2">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mMaster"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="670"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="150"/>
                                        <kactus2:position x="90" y="670"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="clocks_3">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="clocks"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="250"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="340"/>
                                        <kactus2:position x="90" y="250"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mSlave_2">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="ip_mSlave"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="700"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="880" y="130"/>
                                        <kactus2:position x="90" y="700"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="clocks_2">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_2" spirit:busRef="clocks"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="580"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="880" y="160"/>
                                        <kactus2:position x="90" y="580"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="clocks_0">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="730"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="140" y="180"/>
                                        <kactus2:position x="90" y="730"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
                <spirit:hierConnection spirit:interfaceRef="ip_mSlave_3">
                        <spirit:interface spirit:componentRef="hibi_wrapper_r4_3" spirit:busRef="ip_mSlave"/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="90" y="550"/>
                                <kactus2:direction x="1" y="0"/>
                                <kactus2:route kactus2:offPage="true">
                                        <kactus2:position x="660" y="320"/>
                                        <kactus2:position x="90" y="550"/>
                                </kactus2:route>
                        </spirit:vendorExtensions>
                </spirit:hierConnection>
        </spirit:hierConnections>
        <spirit:vendorExtensions>
                <kactus2:columnLayout>
                        <kactus2:column name="IO" contentType="0" allowedItems="1" minWidth="119" width="119"/>
                        <kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
                        <kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
                        <kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
                </kactus2:columnLayout>
                <kactus2:routes>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_5_bus_mMaster" kactus2:offPage="true">
                                <kactus2:position x="400" y="280"/>
                                <kactus2:position x="660" y="670"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_2_bus_mMaster" kactus2:offPage="true">
                                <kactus2:position x="400" y="280"/>
                                <kactus2:position x="660" y="130"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_3_bus_mMaster" kactus2:offPage="true">
                                <kactus2:position x="400" y="280"/>
                                <kactus2:position x="660" y="290"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="true">
                                <kactus2:position x="400" y="280"/>
                                <kactus2:position x="360" y="340"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_4_bus_mMaster" kactus2:offPage="true">
                                <kactus2:position x="400" y="280"/>
                                <kactus2:position x="360" y="640"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="true">
                                <kactus2:position x="400" y="280"/>
                                <kactus2:position x="360" y="120"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
                                <kactus2:position x="430" y="100"/>
                                <kactus2:position x="330" y="100"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave" kactus2:offPage="false">
                                <kactus2:position x="430" y="400"/>
                                <kactus2:position x="350" y="400"/>
                                <kactus2:position x="350" y="410"/>
                                <kactus2:position x="330" y="410"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_3_to_hibi_wrapper_r4_3_bus_mSlave" kactus2:offPage="false">
                                <kactus2:position x="590" y="410"/>
                                <kactus2:position x="670" y="410"/>
                                <kactus2:position x="670" y="420"/>
                                <kactus2:position x="690" y="420"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_4_to_hibi_wrapper_r4_4_bus_mSlave" kactus2:offPage="false">
                                <kactus2:position x="430" y="580"/>
                                <kactus2:position x="350" y="580"/>
                                <kactus2:position x="350" y="600"/>
                                <kactus2:position x="330" y="600"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_2_to_hibi_wrapper_r4_2_bus_mSlave" kactus2:offPage="false">
                                <kactus2:position x="590" y="100"/>
                                <kactus2:position x="690" y="100"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_6p_0_slave_5_to_hibi_wrapper_r4_5_bus_mSlave" kactus2:offPage="false">
                                <kactus2:position x="590" y="570"/>
                                <kactus2:position x="670" y="570"/>
                                <kactus2:position x="670" y="590"/>
                                <kactus2:position x="690" y="590"/>
                        </kactus2:route>
                </kactus2:routes>
                <kactus2:adHocVisibilities/>
        </spirit:vendorExtensions>
</spirit:design>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.