OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [basic_tester_example/] [1.0/] [ip_xact/] [basic_tester_hibi_example.design.1.0.xml] - Rev 151

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 23.11.2012 -->
<!-- Time: 16:44:12 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
        <spirit:vendor>TUT</spirit:vendor>
        <spirit:library>soc</spirit:library>
        <spirit:name>basic_tester_hibi_example.design</spirit:name>
        <spirit:version>1.0</spirit:version>
        <spirit:componentInstances>
                <spirit:componentInstance>
                        <spirit:instanceName>basic_tester_tx_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="basic_tester_tx" spirit:version="1.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="conf_file_g">&quot;test_tx.txt&quot;</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="390" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clock">
                                                <kactus2:position x="-80" y="90"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_master">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_slave">
                                                <kactus2:position x="-80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="reset">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>basic_tester_rx_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="basic_tester_rx" spirit:version="1.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="conf_file_g">&quot;test_rx.txt&quot;</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="390" y="200"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clock">
                                                <kactus2:position x="-80" y="110"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_master">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_slave">
                                                <kactus2:position x="-80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="reset">
                                                <kactus2:position x="80" y="90"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>rst_gen_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="rst_gen" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="390" y="360"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="Generated_reset">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>clk_gen_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clk_gen" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="390" y="450"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="Generated_clk">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="Generated_hibi_clk">
                                                <kactus2:position x="-80" y="70"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_segment_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_segment" spirit:version="3.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="130" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clocks_0">
                                                <kactus2:position x="80" y="420"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks_1">
                                                <kactus2:position x="80" y="500"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks_2">
                                                <kactus2:position x="-80" y="450"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks_3">
                                                <kactus2:position x="-80" y="420"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster_0">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster_1">
                                                <kactus2:position x="80" y="180"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster_2">
                                                <kactus2:position x="-80" y="350"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster_3">
                                                <kactus2:position x="-80" y="320"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave_0">
                                                <kactus2:position x="80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave_1">
                                                <kactus2:position x="80" y="200"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave_2">
                                                <kactus2:position x="-80" y="370"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave_3">
                                                <kactus2:position x="-80" y="300"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="80" y="290"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
        </spirit:componentInstances>
        <spirit:interconnections>
                <spirit:interconnection>
                        <spirit:name>clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="clk_gen_0" spirit:busRef="Generated_hibi_clk"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_0"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_1</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="clk_gen_0" spirit:busRef="Generated_hibi_clk"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="clocks_1"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>rst_gen_0_Generated_reset_to_hibi_segment_0_rst_n</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="rst_gen_0" spirit:busRef="Generated_reset"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="rst_n"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_master</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_0"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_tx_0" spirit:busRef="hibi_master"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>rst_t_n</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="rst_gen_0" spirit:busRef="Generated_reset"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_tx_0" spirit:busRef="reset"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_0"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_tx_0" spirit:busRef="hibi_slave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>rst_r_n</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="rst_gen_0" spirit:busRef="Generated_reset"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_rx_0" spirit:busRef="reset"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>clk_gen_0_Generated_clk_to_basic_tester_rx_0_clock</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="clk_gen_0" spirit:busRef="Generated_clk"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_rx_0" spirit:busRef="clock"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>clk_gen_0_Generated_clk_to_basic_tester_tx_0_clock</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="clk_gen_0" spirit:busRef="Generated_clk"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_tx_0" spirit:busRef="clock"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="basic_tester_rx_0" spirit:busRef="hibi_slave"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mSlave_1"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="basic_tester_rx_0" spirit:busRef="hibi_master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_segment_0" spirit:busRef="ip_mMaster_1"/>
                </spirit:interconnection>
        </spirit:interconnections>
        <spirit:vendorExtensions>
                <kactus2:columnLayout>
                        <kactus2:column name="Buses" contentType="1" allowedItems="12" minWidth="259" width="259"/>
                        <kactus2:column name="Components" contentType="2" allowedItems="2" minWidth="259" width="259"/>
                </kactus2:columnLayout>
                <kactus2:routes>
                        <kactus2:route kactus2:connRef="clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_0" kactus2:offPage="false">
                                <kactus2:position x="310" y="520"/>
                                <kactus2:position x="230" y="520"/>
                                <kactus2:position x="230" y="480"/>
                                <kactus2:position x="210" y="480"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="clk_gen_0_Generated_hibi_clk_to_hibi_segment_0_clocks_1" kactus2:offPage="false">
                                <kactus2:position x="310" y="520"/>
                                <kactus2:position x="240" y="520"/>
                                <kactus2:position x="240" y="560"/>
                                <kactus2:position x="210" y="560"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="rst_gen_0_Generated_reset_to_hibi_segment_0_rst_n" kactus2:offPage="false">
                                <kactus2:position x="470" y="400"/>
                                <kactus2:position x="490" y="400"/>
                                <kactus2:position x="490" y="350"/>
                                <kactus2:position x="210" y="350"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_segment_0_ip_mMaster_0_to_basic_tester_tx_0_hibi_master" kactus2:offPage="false">
                                <kactus2:position x="210" y="100"/>
                                <kactus2:position x="310" y="100"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="rst_t_n" kactus2:offPage="false">
                                <kactus2:position x="470" y="400"/>
                                <kactus2:position x="500" y="400"/>
                                <kactus2:position x="500" y="100"/>
                                <kactus2:position x="470" y="100"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_segment_0_ip_mSlave_0_to_basic_tester_tx_0_hibi_slave" kactus2:offPage="false">
                                <kactus2:position x="210" y="120"/>
                                <kactus2:position x="310" y="120"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="rst_r_n" kactus2:offPage="false">
                                <kactus2:position x="470" y="400"/>
                                <kactus2:position x="500" y="400"/>
                                <kactus2:position x="500" y="290"/>
                                <kactus2:position x="470" y="290"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="clk_gen_0_Generated_clk_to_basic_tester_rx_0_clock" kactus2:offPage="true">
                                <kactus2:position x="500" y="490"/>
                                <kactus2:position x="280" y="310"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="clk_gen_0_Generated_clk_to_basic_tester_tx_0_clock" kactus2:offPage="true">
                                <kactus2:position x="500" y="490"/>
                                <kactus2:position x="280" y="150"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="basic_tester_rx_0_hibi_slave_to_hibi_segment_0_ip_mSlave_1" kactus2:offPage="false">
                                <kactus2:position x="310" y="260"/>
                                <kactus2:position x="210" y="260"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="basic_tester_rx_0_hibi_master_to_hibi_segment_0_ip_mMaster_1" kactus2:offPage="false">
                                <kactus2:position x="310" y="240"/>
                                <kactus2:position x="210" y="240"/>
                        </kactus2:route>
                </kactus2:routes>
        </spirit:vendorExtensions>
</spirit:design>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.