OpenCores
URL https://opencores.org/ocsvn/funbase_ip_library/funbase_ip_library/trunk

Subversion Repositories funbase_ip_library

[/] [funbase_ip_library/] [trunk/] [TUT/] [soc/] [basic_tester_example/] [1.0/] [ip_xact/] [basic_tester_hibi_example.wra.design.1.0.xml] - Rev 145

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<!-- Created by Kactus2 - Open source IP-Xact toolset -->
<!-- http://sourceforge.net/projects/kactus2/ -->
<!-- Date: 04.07.2012 -->
<!-- Time: 14:04:47 -->
<spirit:design xmlns:kactus2="http://funbase.cs.tut.fi/" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5" xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1.5/index.xsd">
        <spirit:vendor>TUT</spirit:vendor>
        <spirit:library>soc</spirit:library>
        <spirit:name>basic_tester_hibi_example.wra.design</spirit:name>
        <spirit:version>1.0</spirit:version>
        <spirit:componentInstances>
                <spirit:componentInstance>
                        <spirit:instanceName>basic_tester_tx_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="basic_tester_tx" spirit:version="1.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="conf_file_g">&quot;test_tx.txt&quot;</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="650" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clock">
                                                <kactus2:position x="80" y="120"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_master">
                                                <kactus2:position x="-80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_slave">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="reset">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>clk_gen_1</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="clk_gen" spirit:version="1.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="hi_period_ns_g">5</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="lo_period_ns_g">3</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="650" y="380"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="Generated_clk">
                                                <kactus2:position x="-80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="Generated_hibi_clk">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>basic_tester_rx_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="basic_tester_rx" spirit:version="1.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="conf_file_g">&quot;test_rx.txt&quot;</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="650" y="230"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="clock">
                                                <kactus2:position x="80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_master">
                                                <kactus2:position x="-80" y="100"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="hibi_slave">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="reset">
                                                <kactus2:position x="80" y="60"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>rst_gen_1</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.interface" spirit:name="rst_gen" spirit:version="1.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="650" y="490"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="Generated_reset">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_wrapper_r4_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="addr_g">16#01000000#</spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="addr_limit_g">16#03000000# -1</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="390" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="bus_mMaster">
                                                <kactus2:position x="-80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="bus_mSlave">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks">
                                                <kactus2:position x="80" y="140"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster">
                                                <kactus2:position x="80" y="70"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="80" y="120"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities>
                                        <kactus2:adHocVisible portName="debug_in" x="-80" y="110"/>
                                        <kactus2:adHocVisible portName="debug_out" x="80" y="160"/>
                                </kactus2:adHocVisibilities>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_wrapper_r4_1</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_wrapper_r4" spirit:version="3.0"/>
                        <spirit:configurableElementValues>
                                <spirit:configurableElementValue spirit:referenceId="addr_g">16#03000000# </spirit:configurableElementValue>
                                <spirit:configurableElementValue spirit:referenceId="addr_limit_g">16#05000000#
-1</spirit:configurableElementValue>
                        </spirit:configurableElementValues>
                        <spirit:vendorExtensions>
                                <kactus2:position x="390" y="270"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="bus_mMaster">
                                                <kactus2:position x="-80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="bus_mSlave">
                                                <kactus2:position x="-80" y="100"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="clocks">
                                                <kactus2:position x="80" y="100"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mMaster">
                                                <kactus2:position x="80" y="60"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="ip_mSlave">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="rst_n">
                                                <kactus2:position x="-80" y="120"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities>
                                        <kactus2:adHocVisible portName="debug_in" x="-80" y="150"/>
                                        <kactus2:adHocVisible portName="debug_out" x="80" y="150"/>
                                </kactus2:adHocVisibilities>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
                <spirit:componentInstance>
                        <spirit:instanceName>hibi_orbus_0</spirit:instanceName>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:componentRef spirit:vendor="TUT" spirit:library="ip.hwp.communication" spirit:name="hibi_orbus" spirit:version="3.0"/>
                        <spirit:configurableElementValues/>
                        <spirit:vendorExtensions>
                                <kactus2:position x="130" y="60"/>
                                <kactus2:portPositions>
                                        <kactus2:portPosition kactus2:busRef="master">
                                                <kactus2:position x="80" y="90"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_0">
                                                <kactus2:position x="80" y="40"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_1">
                                                <kactus2:position x="80" y="290"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_2">
                                                <kactus2:position x="-80" y="80"/>
                                        </kactus2:portPosition>
                                        <kactus2:portPosition kactus2:busRef="slave_3">
                                                <kactus2:position x="-80" y="60"/>
                                        </kactus2:portPosition>
                                </kactus2:portPositions>
                                <kactus2:adHocVisibilities/>
                                <kactus2:propertyValues/>
                        </spirit:vendorExtensions>
                </spirit:componentInstance>
        </spirit:componentInstances>
        <spirit:interconnections>
                <spirit:interconnection>
                        <spirit:name>rstn_gen_tx</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="rst_gen_1" spirit:busRef="Generated_reset"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_tx_0" spirit:busRef="reset"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>rstn_gen_rx</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="rst_gen_1" spirit:busRef="Generated_reset"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_rx_0" spirit:busRef="reset"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>clk_gen_tx</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="clk_gen_1" spirit:busRef="Generated_clk"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_tx_0" spirit:busRef="clock"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>clk_gen_rx</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="clk_gen_1" spirit:busRef="Generated_clk"/>
                        <spirit:activeInterface spirit:componentRef="basic_tester_rx_0" spirit:busRef="clock"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_0"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="slave_1"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="bus_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_orbus_0" spirit:busRef="master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="bus_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>basic_tester_tx_0_hibi_slave_to_hibi_wrapper_r4_0_ip_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="basic_tester_tx_0" spirit:busRef="hibi_slave"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>basic_tester_tx_0_hibi_master_to_hibi_wrapper_r4_0_ip_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="basic_tester_tx_0" spirit:busRef="hibi_master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="ip_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>basic_tester_rx_0_hibi_slave_to_hibi_wrapper_r4_1_ip_mSlave</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="basic_tester_rx_0" spirit:busRef="hibi_slave"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mSlave"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>basic_tester_rx_0_hibi_master_to_hibi_wrapper_r4_1_ip_mMaster</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="basic_tester_rx_0" spirit:busRef="hibi_master"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="ip_mMaster"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>clk_gen_1_Generated_hibi_clk_to_hibi_wrapper_r4_0_clocks</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="clk_gen_1" spirit:busRef="Generated_hibi_clk"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="clocks"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>clk_gen_1_Generated_hibi_clk_to_hibi_wrapper_r4_1_clocks</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="clk_gen_1" spirit:busRef="Generated_hibi_clk"/>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="clocks"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_wrapper_r4_0_rst_n_to_rst_gen_1_Generated_reset</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_0" spirit:busRef="rst_n"/>
                        <spirit:activeInterface spirit:componentRef="rst_gen_1" spirit:busRef="Generated_reset"/>
                </spirit:interconnection>
                <spirit:interconnection>
                        <spirit:name>hibi_wrapper_r4_1_rst_n_to_rst_gen_1_Generated_reset</spirit:name>
                        <spirit:displayName></spirit:displayName>
                        <spirit:description></spirit:description>
                        <spirit:activeInterface spirit:componentRef="hibi_wrapper_r4_1" spirit:busRef="rst_n"/>
                        <spirit:activeInterface spirit:componentRef="rst_gen_1" spirit:busRef="Generated_reset"/>
                </spirit:interconnection>
        </spirit:interconnections>
        <spirit:vendorExtensions>
                <kactus2:columnLayout>
                        <kactus2:column name="HIBI orbus" contentType="1" allowedItems="12" minWidth="259" width="259"/>
                        <kactus2:column name="HIBI wrappers" contentType="1" allowedItems="12" minWidth="259" width="259"/>
                        <kactus2:column name="Components" contentType="2" allowedItems="2" minWidth="259" width="259"/>
                </kactus2:columnLayout>
                <kactus2:routes>
                        <kactus2:route kactus2:connRef="rstn_gen_tx" kactus2:offPage="true">
                                <kactus2:position x="540" y="530"/>
                                <kactus2:position x="760" y="100"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="rstn_gen_rx" kactus2:offPage="true">
                                <kactus2:position x="540" y="530"/>
                                <kactus2:position x="760" y="290"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="clk_gen_tx" kactus2:offPage="true">
                                <kactus2:position x="540" y="440"/>
                                <kactus2:position x="760" y="180"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="clk_gen_rx" kactus2:offPage="true">
                                <kactus2:position x="540" y="440"/>
                                <kactus2:position x="760" y="310"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_0_slave_0_to_hibi_wrapper_r4_0_bus_mSlave" kactus2:offPage="false">
                                <kactus2:position x="210" y="100"/>
                                <kactus2:position x="310" y="100"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_0_slave_1_to_hibi_wrapper_r4_1_bus_mSlave" kactus2:offPage="false">
                                <kactus2:position x="210" y="350"/>
                                <kactus2:position x="290" y="350"/>
                                <kactus2:position x="290" y="370"/>
                                <kactus2:position x="310" y="370"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_1_bus_mMaster" kactus2:offPage="false">
                                <kactus2:position x="210" y="150"/>
                                <kactus2:position x="290" y="150"/>
                                <kactus2:position x="290" y="310"/>
                                <kactus2:position x="310" y="310"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_orbus_0_master_to_hibi_wrapper_r4_0_bus_mMaster" kactus2:offPage="false">
                                <kactus2:position x="210" y="150"/>
                                <kactus2:position x="290" y="150"/>
                                <kactus2:position x="290" y="130"/>
                                <kactus2:position x="310" y="130"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="basic_tester_tx_0_hibi_slave_to_hibi_wrapper_r4_0_ip_mSlave" kactus2:offPage="false">
                                <kactus2:position x="570" y="100"/>
                                <kactus2:position x="470" y="100"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="basic_tester_tx_0_hibi_master_to_hibi_wrapper_r4_0_ip_mMaster" kactus2:offPage="false">
                                <kactus2:position x="570" y="130"/>
                                <kactus2:position x="470" y="130"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="basic_tester_rx_0_hibi_slave_to_hibi_wrapper_r4_1_ip_mSlave" kactus2:offPage="false">
                                <kactus2:position x="570" y="310"/>
                                <kactus2:position x="470" y="310"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="basic_tester_rx_0_hibi_master_to_hibi_wrapper_r4_1_ip_mMaster" kactus2:offPage="false">
                                <kactus2:position x="570" y="330"/>
                                <kactus2:position x="470" y="330"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="clk_gen_1_Generated_hibi_clk_to_hibi_wrapper_r4_0_clocks" kactus2:offPage="true">
                                <kactus2:position x="760" y="420"/>
                                <kactus2:position x="500" y="200"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="clk_gen_1_Generated_hibi_clk_to_hibi_wrapper_r4_1_clocks" kactus2:offPage="true">
                                <kactus2:position x="760" y="420"/>
                                <kactus2:position x="500" y="370"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_wrapper_r4_0_rst_n_to_rst_gen_1_Generated_reset" kactus2:offPage="true">
                                <kactus2:position x="500" y="180"/>
                                <kactus2:position x="540" y="530"/>
                        </kactus2:route>
                        <kactus2:route kactus2:connRef="hibi_wrapper_r4_1_rst_n_to_rst_gen_1_Generated_reset" kactus2:offPage="true">
                                <kactus2:position x="280" y="390"/>
                                <kactus2:position x="540" y="530"/>
                        </kactus2:route>
                </kactus2:routes>
        </spirit:vendorExtensions>
</spirit:design>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.