OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [USB_TMC_IP_tb.fdo] - Rev 12

Compare with Previous | Blame | View Log

## NOTE:  Do not edit this file.
## Autogenerated by ProjNav (creatfdo.tcl) on Mon Jun 15 19:45:32 CEST 2009
##
vlib work
vcom -explicit  -93 "USB_TMC_IP_Defs.vhd"
vcom -explicit  -93 "gpif_com.vhd"
vcom -explicit  -93 "fifo_X2U_2C_1024B.vhd"
vcom -explicit  -93 "fifo_U2X_2C_1024B.vhd"
vcom -explicit  -93 "USB_TMC_cmp.vhd"
vcom -explicit  -93 "USB_TMC_IP_loopback.vhd"
vcom -explicit  -93 "USB_TMC_IP.vhd"
vcom -explicit  -93 "USB_TMC_IP_tb.vhd"
vsim -t 1ns   -lib work USB_TMC_IP_tb
view wave
add wave *
do {USB_TMC_IP_tb.udo}
view structure
view signals
run 2000ns

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.