OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [netgen/] [par/] [USB_TMC_IP_timesim.sdf] - Rev 12

Compare with Previous | Blame | View Log

(DELAYFILE
  (SDFVERSION "3.0")
  (DESIGN "USB_TMC_IP")
  (DATE "Mon Jun 15 19:04:42 2009")
  (VENDOR "Xilinx")
  (PROGRAM "Xilinx SDF Writer")
  (VERSION "J.33")
  (DIVIDER /)
  (VOLTAGE 1.14)
  (TEMPERATURE 85)
  (TIMESCALE 1 ps)
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_4_not00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (482:482:602))
          (PORT ADR2 (597:597:746))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_4_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (497:497:621))
          (PORT ADR1 (458:458:572))
          (PORT ADR2 (337:337:422))
          (PORT ADR3 (335:335:418))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp2out_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp2out_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp2out_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_4_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (771:771:964))
          (PORT ADR1 (723:723:904))
          (PORT ADR2 (867:867:1084))
          (PORT ADR3 (890:890:1112))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp2out_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp2out_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp2out_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_4_not00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (601:601:751))
          (PORT ADR3 (358:358:448))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_4_not00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (571:571:714))
          (PORT ADR3 (333:333:417))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_4_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (784:784:981))
          (PORT ADR1 (471:471:589))
          (PORT ADR2 (635:635:794))
          (PORT ADR3 (337:337:422))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_comp_ae2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_comp_ae2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_comp_ae2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_v1_4_not00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (515:515:644))
          (PORT ADR3 (317:317:396))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_comp_ae1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_comp_ae1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_comp_ae1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_v1_4_not00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1056:1056:1320))
          (PORT ADR3 (623:623:778))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_4_not00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (490:490:612))
          (PORT ADR1 (1042:1042:1302))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_comp_af1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_4_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1061:1061:1326))
          (PORT ADR1 (485:485:607))
          (PORT ADR2 (340:340:425))
          (PORT ADR3 (632:632:790))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp1out_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp1out_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp1out_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_4_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1307:1307:1634))
          (PORT ADR1 (1053:1053:1316))
          (PORT ADR2 (600:600:750))
          (PORT ADR3 (592:592:740))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp1out_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp1out_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_comp1out_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_4_not00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (512:512:640))
          (PORT ADR3 (587:587:734))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_4_not00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (490:490:612))
          (PORT ADR3 (358:358:448))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_1_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_carrynet_3_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp_full2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_4_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (495:495:619))
          (PORT ADR1 (496:496:619))
          (PORT ADR2 (362:362:453))
          (PORT ADR3 (632:632:790))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1646:1654:2059))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (804:804:946))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1397:1397:1747))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1646:1654:2059))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (804:804:946))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1397:1397:1747))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2195:2203:2744))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (827:827:973))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1956:1956:2445))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2195:2203:2744))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (827:827:973))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1956:1956:2445))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (838:838:985))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1661:1661:2076))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2480:2488:3101))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (794:794:935))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1375:1375:1719))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2480:2488:3101))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (794:794:935))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1375:1375:1719))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2228:2236:2786))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (766:766:902))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1416:1416:1769))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2228:2236:2786))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (766:766:902))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1416:1416:1769))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (761:761:895))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1343:1343:1678))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3035:3043:3794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (830:830:976))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1931:1931:2414))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3035:3043:3794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (830:830:976))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1931:1931:2414))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2804:2812:3505))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (811:811:954))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1656:1656:2070))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2804:2812:3505))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (811:811:954))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1656:1656:2070))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (779:779:917))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1662:1662:2078))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2804:2812:3506))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (800:800:942))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1657:1657:2071))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2804:2812:3506))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (800:800:942))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1657:1657:2071))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2767:2775:3460))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (772:772:909))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1663:1663:2079))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2767:2775:3460))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (772:772:909))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1663:1663:2079))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (747:747:878))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (686:686:858))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (717:725:897))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (727:727:856))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (592:592:740))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (717:725:897))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (727:727:856))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (592:592:740))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1268:1276:1585))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (752:752:885))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (863:863:1078))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1268:1276:1585))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (752:752:885))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (863:863:1078))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1266:1274:1584))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (770:770:906))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (722:722:903))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_9_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (359:359:448))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (803:811:1005))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (753:753:886))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (716:716:895))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (803:811:1005))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (753:753:886))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (716:716:895))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (800:808:1001))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (729:729:857))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (592:592:740))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (800:808:1001))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (729:729:857))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (592:592:740))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (795:803:994))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (727:727:856))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (717:717:896))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_9_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (748:748:935))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1814:1822:2268))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (786:786:925))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2246:2246:2807))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1814:1822:2268))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (786:786:925))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2246:2246:2807))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2378:2386:2973))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (758:758:892))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1975:1975:2469))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2378:2386:2973))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (758:758:892))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1975:1975:2469))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1527:1535:1910))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (733:733:862))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2517:2517:3147))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_9_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (508:508:634))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (755:763:945))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (716:716:842))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1243:1243:1553))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (755:763:945))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (716:716:842))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1243:1243:1553))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1041:1049:1303))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (706:706:831))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (692:692:865))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1041:1049:1303))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (706:706:831))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (692:692:865))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (867:875:1084))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (695:695:818))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (943:943:1179))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_9_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (533:533:666))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (740:748:926))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (734:734:863))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (819:819:1024))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (740:748:926))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (734:734:863))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (819:819:1024))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (756:764:946))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (746:746:878))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (815:815:1019))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (756:764:946))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (746:746:878))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (815:815:1019))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1042:1050:1304))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (719:719:845))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (692:692:865))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_9_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (526:526:657))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1298:1306:1623))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (752:752:884))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (930:930:1162))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1298:1306:1623))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (752:752:884))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (930:930:1162))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1033:1041:1292))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (765:765:900))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1479:1479:1849))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1033:1041:1292))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (765:765:900))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1479:1479:1849))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1271:1279:1590))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (751:751:883))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (951:951:1188))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_9_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (349:349:436))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (759:767:950))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (663:663:780))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (589:589:736))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (759:767:950))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (663:663:780))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (589:589:736))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1320:1328:1651))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (661:661:777))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1151:1151:1438))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (96:120:120))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1320:1328:1651))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (661:661:777))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1151:1151:1438))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (685:685:806))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1693:1693:2116))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1299:1307:1625))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (730:730:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1394:1394:1743))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1299:1307:1625))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (730:730:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1394:1394:1743))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1027:1035:1285))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (706:706:830))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (845:845:1056))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1027:1035:1285))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (706:706:830))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (845:845:1056))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (702:702:825))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (577:577:721))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (640:801:801))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYMUXG)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1561:1569:1952))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (774:774:910))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (724:724:905))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1561:1569:1952))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (774:774:910))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (724:724:905))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_COUTUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1122:1130:1404))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (755:755:888))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (721:721:901))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXF)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT IB (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXF2)
      (DELAY
        (ABSOLUTE
          (PORT IA (15:19:19))
          (PORT SEL (370:463:463))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYSELF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_XORG)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (497:622:622))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_FASTCARRY)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (102:128:128))
        )
      )
  )
  (CELL (CELLTYPE "X_AND2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXFAST)
      (DELAY
        (ABSOLUTE
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYMUXG2)
      (DELAY
        (ABSOLUTE
          (PORT IA (20:25:25))
          (PORT IB (20:25:25))
          (PORT SEL (400:500:500))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CYSELG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1122:1130:1404))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (755:755:888))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (721:721:901))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_XOR2")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_XORF)
      (DELAY
        (ABSOLUTE
          (PORT I0 (472:591:591))
          (PORT I1 (332:415:415))
          (IOPATH I0 O ( 0 )( 0 ))
          (IOPATH I1 O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CYINIT)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (240:301:301))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (723:723:851))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (716:716:896))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_WRU_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_WRU_IFF_IMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (543:679:679))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_RDYU_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_RDYU_IFF_IMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (543:679:679))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_10_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_10_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_11_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_11_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_12_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_12_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_13_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_13_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_14_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_14_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_15_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_15_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUF")
    (INSTANCE o_WRX_OBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3585:4483:4483))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUF")
    (INSTANCE o_RDYX_OBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3585:4483:4483))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUF")
    (INSTANCE o_LEDrx_OBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3585:4483:4483))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUF")
    (INSTANCE o_LEDtx_OBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3585:4483:4483))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_0_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_0_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_1_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_1_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_2_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_2_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_3_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_3_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_4_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_4_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_SYSCLK_BUFGP_IBUFG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_5_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_5_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_6_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_6_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_7_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_7_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_8_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_8_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUFT")
    (INSTANCE b_dbus_9_IOBUF_OBUFT)
      (DELAY
        (ABSOLUTE
          (PORT I (19:24:24))
          (PORT CTL (19:24:24))
          (IOPATH I O (3566:4459:4459))
          (IOPATH CTL O (3566:4459:4459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_9_IOBUF_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_nReset_IBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_nReset_IFF_IMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (543:679:679))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_IFCLK_BUFGP_IBUFG)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (120:150:150))
        )
      )
  )
  (CELL (CELLTYPE "X_OBUF")
    (INSTANCE o_LEDrun_OBUF)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3585:4483:4483))
        )
      )
  )
  (CELL (CELLTYPE "X_BUFGMUX")
    (INSTANCE i_SYSCLK_BUFGP_BUFG)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O (320:401:401))
          (IOPATH I1 O (320:401:401))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge S) (negedge I0) (480:600:600)(0))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE i_SYSCLK_BUFGP_BUFG_SINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_SYSCLK_BUFGP_BUFG_I0_USED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (543:679:679)(543:679:679))
        )
      )
  )
  (CELL (CELLTYPE "X_BUFGMUX")
    (INSTANCE i_IFCLK_BUFGP_BUFG)
      (DELAY
        (ABSOLUTE
          (IOPATH I0 O (320:401:401))
          (IOPATH I1 O (320:401:401))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge S) (negedge I0) (480:600:600)(0))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE i_IFCLK_BUFGP_BUFG_SINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE i_IFCLK_BUFGP_BUFG_I0_USED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1780:1916:2225)(1780:1916:2225))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_memblk_bmem_bmg_bmg_inst_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_ram_dp18x36_ram_WEAINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2319:2319:2899)(2319:2319:2899))
        )
      )
  )
  (CELL (CELLTYPE "X_RAMB16_S18_S36")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_memblk_bmem_bmg_bmg_inst_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_ram_dp18x36_ram)
      (DELAY
        (ABSOLUTE
          (PORT CLKA (844:844:993))
          (PORT CLKB (844:844:993))
          (PORT ENA ( 0 ))
          (PORT ENB (1298:1298:1622))
          (PORT SSRA (2108:2108:2635))
          (PORT SSRB (2543:2543:3179))
          (PORT WEA ( 0 ))
          (PORT WEB ( 0 ))
          (PORT ADDRA[9] (1775:1775:2219))
          (PORT ADDRA[8] (1801:1801:2251))
          (PORT ADDRA[7] (2112:2112:2640))
          (PORT ADDRA[6] (1800:1800:2249))
          (PORT ADDRA[5] (2112:2112:2639))
          (PORT ADDRA[4] (1742:1742:2177))
          (PORT ADDRA[3] (1755:1755:2194))
          (PORT ADDRA[2] (1794:1794:2243))
          (PORT ADDRA[1] (1775:1775:2219))
          (PORT ADDRA[0] (1782:1782:2227))
          (PORT ADDRB[8] (2385:2385:2981))
          (PORT ADDRB[7] (2687:2687:3358))
          (PORT ADDRB[6] (2567:2567:3209))
          (PORT ADDRB[5] (2768:2768:3460))
          (PORT ADDRB[4] (2389:2389:2987))
          (PORT ADDRB[3] (2756:2756:3445))
          (PORT ADDRB[2] (2821:2821:3526))
          (PORT ADDRB[1] (2988:2988:3735))
          (PORT ADDRB[0] (2833:2833:3541))
          (PORT DIA[0] (2034:2034:2542))
          (PORT DIA[1] (2029:2029:2536))
          (PORT DIA[2] (2711:2711:3389))
          (PORT DIA[3] (2560:2560:3200))
          (PORT DIA[4] (2755:2755:3444))
          (PORT DIA[5] (2167:2167:2709))
          (PORT DIA[6] (3040:3040:3800))
          (PORT DIA[7] (3118:3118:3898))
          (PORT DIA[8] (1445:1445:1806))
          (PORT DIA[9] (2520:2520:3150))
          (PORT DIA[10] (2115:2115:2644))
          (PORT DIA[11] (1304:1304:1630))
          (PORT DIA[12] (3146:3146:3933))
          (PORT DIA[13] (2631:2631:3289))
          (PORT DIA[14] (2483:2483:3104))
          (PORT DIA[15] (2181:2181:2726))
          (IOPATH CLKA DOA[0] (1914:2394:2394))
          (IOPATH CLKA DOA[1] (1914:2394:2394))
          (IOPATH CLKA DOA[2] (1914:2394:2394))
          (IOPATH CLKA DOA[3] (1914:2394:2394))
          (IOPATH CLKA DOA[4] (1914:2394:2394))
          (IOPATH CLKA DOA[5] (1914:2394:2394))
          (IOPATH CLKA DOA[6] (1914:2394:2394))
          (IOPATH CLKA DOA[7] (1914:2394:2394))
          (IOPATH CLKA DOA[8] (1914:2394:2394))
          (IOPATH CLKA DOA[9] (1914:2394:2394))
          (IOPATH CLKA DOA[10] (1914:2394:2394))
          (IOPATH CLKA DOA[11] (1914:2394:2394))
          (IOPATH CLKA DOA[12] (1914:2394:2394))
          (IOPATH CLKA DOA[13] (1914:2394:2394))
          (IOPATH CLKA DOA[14] (1914:2394:2394))
          (IOPATH CLKA DOA[15] (1914:2394:2394))
          (IOPATH CLKA DOPA[0] (1914:2394:2394))
          (IOPATH CLKA DOPA[1] (1914:2394:2394))
          (IOPATH CLKB DOB[0] (1914:2394:2394))
          (IOPATH CLKB DOB[1] (1914:2394:2394))
          (IOPATH CLKB DOB[2] (1914:2394:2394))
          (IOPATH CLKB DOB[3] (1914:2394:2394))
          (IOPATH CLKB DOB[4] (1914:2394:2394))
          (IOPATH CLKB DOB[5] (1914:2394:2394))
          (IOPATH CLKB DOB[6] (1914:2394:2394))
          (IOPATH CLKB DOB[7] (1914:2394:2394))
          (IOPATH CLKB DOB[8] (1914:2394:2394))
          (IOPATH CLKB DOB[9] (1914:2394:2394))
          (IOPATH CLKB DOB[10] (1914:2394:2394))
          (IOPATH CLKB DOB[11] (1914:2394:2394))
          (IOPATH CLKB DOB[12] (1914:2394:2394))
          (IOPATH CLKB DOB[13] (1914:2394:2394))
          (IOPATH CLKB DOB[14] (1914:2394:2394))
          (IOPATH CLKB DOB[15] (1914:2394:2394))
          (IOPATH CLKB DOB[16] (1914:2394:2394))
          (IOPATH CLKB DOB[17] (1914:2394:2394))
          (IOPATH CLKB DOB[18] (1914:2394:2394))
          (IOPATH CLKB DOB[19] (1914:2394:2394))
          (IOPATH CLKB DOB[20] (1914:2394:2394))
          (IOPATH CLKB DOB[21] (1914:2394:2394))
          (IOPATH CLKB DOB[22] (1914:2394:2394))
          (IOPATH CLKB DOB[23] (1914:2394:2394))
          (IOPATH CLKB DOB[24] (1914:2394:2394))
          (IOPATH CLKB DOB[25] (1914:2394:2394))
          (IOPATH CLKB DOB[26] (1914:2394:2394))
          (IOPATH CLKB DOB[27] (1914:2394:2394))
          (IOPATH CLKB DOB[28] (1914:2394:2394))
          (IOPATH CLKB DOB[29] (1914:2394:2394))
          (IOPATH CLKB DOB[30] (1914:2394:2394))
          (IOPATH CLKB DOB[31] (1914:2394:2394))
          (IOPATH CLKB DOPB[0] (1914:2394:2394))
          (IOPATH CLKB DOPB[1] (1914:2394:2394))
          (IOPATH CLKB DOPB[2] (1914:2394:2394))
          (IOPATH CLKB DOPB[3] (1914:2394:2394))
        )
      )
      (TIMINGCHECK
        (WIDTH (negedge CLKA) (1092:1366:1366))
        (WIDTH (posedge CLKA) (1092:1366:1366))
        (SETUPHOLD (posedge ENA) (posedge CLKA) (387:484:484)(84:106:106))
        (SETUPHOLD (negedge ENA) (posedge CLKA) (387:484:484)(84:106:106))
        (SETUPHOLD (posedge SSRA) (posedge CLKA) (396:496:496)(94:118:118))
        (SETUPHOLD (negedge SSRA) (posedge CLKA) (396:496:496)(94:118:118))
        (SETUPHOLD (posedge WEA) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge WEA) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge ADDRA[9]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[9]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[8]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[8]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[7]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[7]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[6]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[6]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[5]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[5]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[4]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[4]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[3]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[3]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[2]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[2]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[1]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[1]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[0]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[0]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge DIA[0]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[0]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[1]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[1]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[2]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[2]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[3]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[3]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[4]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[4]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[5]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[5]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[6]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[6]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[7]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[7]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[8]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[8]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[9]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[9]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[10]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[10]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[11]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[11]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[12]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[12]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[13]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[13]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[14]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[14]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[15]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[15]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIPA[0]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIPA[0]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIPA[1]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIPA[1]) (posedge CLKA) (387:484:484)(0))
        (WIDTH (negedge CLKB) (1092:1366:1366))
        (WIDTH (posedge CLKB) (1092:1366:1366))
        (SETUPHOLD (posedge ENB) (posedge CLKB) (387:484:484)(84:106:106))
        (SETUPHOLD (negedge ENB) (posedge CLKB) (387:484:484)(84:106:106))
        (SETUPHOLD (posedge SSRB) (posedge CLKB) (396:496:496)(94:118:118))
        (SETUPHOLD (negedge SSRB) (posedge CLKB) (396:496:496)(94:118:118))
        (SETUPHOLD (posedge WEB) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge WEB) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge ADDRB[8]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[8]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[7]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[7]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[6]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[6]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[5]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[5]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[4]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[4]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[3]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[3]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[2]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[2]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[1]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[1]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[0]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[0]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge DIB[0]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[0]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[1]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[1]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[2]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[2]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[3]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[3]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[4]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[4]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[5]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[5]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[6]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[6]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[7]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[7]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[8]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[8]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[9]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[9]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[10]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[10]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[11]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[11]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[12]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[12]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[13]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[13]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[14]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[14]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[15]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[15]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[16]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[16]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[17]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[17]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[18]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[18]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[19]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[19]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[20]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[20]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[21]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[21]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[22]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[22]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[23]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[23]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[24]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[24]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[25]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[25]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[26]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[26]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[27]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[27]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[28]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[28]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[29]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[29]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[30]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[30]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[31]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[31]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIPB[0]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIPB[0]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIPB[1]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIPB[1]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIPB[2]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIPB[2]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIPB[3]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIPB[3]) (posedge CLKB) (387:484:484)(0))
      )
  )
  (CELL (CELLTYPE "X_RAMB16_S18_S36")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_memblk_bmem_bmg_bmg_inst_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_ram_dp36x18_ram)
      (DELAY
        (ABSOLUTE
          (PORT CLKA (815:815:959))
          (PORT CLKB (815:815:959))
          (PORT ENA (1415:1415:1769))
          (PORT ENB ( 0 ))
          (PORT SSRA (2389:2389:2986))
          (PORT SSRB (2220:2220:2775))
          (PORT WEA ( 0 ))
          (PORT WEB (1410:1410:1762))
          (PORT ADDRA[9] (2600:2600:3250))
          (PORT ADDRA[8] (2890:2890:3613))
          (PORT ADDRA[7] (2920:2920:3649))
          (PORT ADDRA[6] (2842:2842:3552))
          (PORT ADDRA[5] (2911:2911:3638))
          (PORT ADDRA[4] (2955:2955:3693))
          (PORT ADDRA[3] (2970:2970:3713))
          (PORT ADDRA[2] (2798:2798:3498))
          (PORT ADDRA[1] (2911:2911:3638))
          (PORT ADDRA[0] (2912:2912:3640))
          (PORT ADDRB[8] (3624:3624:4530))
          (PORT ADDRB[7] (3665:3665:4581))
          (PORT ADDRB[6] (3078:3078:3847))
          (PORT ADDRB[5] (3647:3647:4559))
          (PORT ADDRB[4] (3495:3495:4369))
          (PORT ADDRB[3] (3347:3347:4184))
          (PORT ADDRB[2] (3082:3082:3853))
          (PORT ADDRB[1] (3088:3088:3861))
          (PORT ADDRB[0] (2971:2971:3714))
          (PORT DIB[0] (862:862:1077))
          (PORT DIB[1] (1166:1166:1457))
          (PORT DIB[2] (1006:1006:1257))
          (PORT DIB[3] (981:981:1226))
          (PORT DIB[4] (862:862:1077))
          (PORT DIB[5] (1665:1665:2082))
          (PORT DIB[6] (1006:1006:1257))
          (PORT DIB[7] (976:976:1220))
          (PORT DIB[8] (1166:1166:1457))
          (PORT DIB[9] (877:877:1097))
          (PORT DIB[10] (1006:1006:1257))
          (PORT DIB[11] (858:858:1073))
          (PORT DIB[12] (997:997:1247))
          (PORT DIB[13] (1474:1474:1843))
          (PORT DIB[14] (1006:1006:1257))
          (PORT DIB[15] (1105:1105:1381))
          (PORT DIB[16] (976:976:1220))
          (PORT DIB[17] (1097:1097:1372))
          (PORT DIB[18] (859:859:1073))
          (PORT DIB[19] (859:859:1073))
          (PORT DIB[20] (976:976:1220))
          (PORT DIB[21] (1097:1097:1372))
          (PORT DIB[22] (858:858:1073))
          (PORT DIB[23] (858:858:1073))
          (PORT DIB[24] (976:976:1220))
          (PORT DIB[25] (1029:1029:1287))
          (PORT DIB[26] (1156:1156:1445))
          (PORT DIB[27] (976:976:1220))
          (PORT DIB[28] (976:976:1220))
          (PORT DIB[29] (1166:1166:1457))
          (PORT DIB[30] (1201:1201:1501))
          (PORT DIB[31] (976:976:1220))
          (IOPATH CLKA DOA[0] (1914:2394:2394))
          (IOPATH CLKA DOA[1] (1914:2394:2394))
          (IOPATH CLKA DOA[2] (1914:2394:2394))
          (IOPATH CLKA DOA[3] (1914:2394:2394))
          (IOPATH CLKA DOA[4] (1914:2394:2394))
          (IOPATH CLKA DOA[5] (1914:2394:2394))
          (IOPATH CLKA DOA[6] (1914:2394:2394))
          (IOPATH CLKA DOA[7] (1914:2394:2394))
          (IOPATH CLKA DOA[8] (1914:2394:2394))
          (IOPATH CLKA DOA[9] (1914:2394:2394))
          (IOPATH CLKA DOA[10] (1914:2394:2394))
          (IOPATH CLKA DOA[11] (1914:2394:2394))
          (IOPATH CLKA DOA[12] (1914:2394:2394))
          (IOPATH CLKA DOA[13] (1914:2394:2394))
          (IOPATH CLKA DOA[14] (1914:2394:2394))
          (IOPATH CLKA DOA[15] (1914:2394:2394))
          (IOPATH CLKA DOPA[0] (1914:2394:2394))
          (IOPATH CLKA DOPA[1] (1914:2394:2394))
          (IOPATH CLKB DOB[0] (1914:2394:2394))
          (IOPATH CLKB DOB[1] (1914:2394:2394))
          (IOPATH CLKB DOB[2] (1914:2394:2394))
          (IOPATH CLKB DOB[3] (1914:2394:2394))
          (IOPATH CLKB DOB[4] (1914:2394:2394))
          (IOPATH CLKB DOB[5] (1914:2394:2394))
          (IOPATH CLKB DOB[6] (1914:2394:2394))
          (IOPATH CLKB DOB[7] (1914:2394:2394))
          (IOPATH CLKB DOB[8] (1914:2394:2394))
          (IOPATH CLKB DOB[9] (1914:2394:2394))
          (IOPATH CLKB DOB[10] (1914:2394:2394))
          (IOPATH CLKB DOB[11] (1914:2394:2394))
          (IOPATH CLKB DOB[12] (1914:2394:2394))
          (IOPATH CLKB DOB[13] (1914:2394:2394))
          (IOPATH CLKB DOB[14] (1914:2394:2394))
          (IOPATH CLKB DOB[15] (1914:2394:2394))
          (IOPATH CLKB DOB[16] (1914:2394:2394))
          (IOPATH CLKB DOB[17] (1914:2394:2394))
          (IOPATH CLKB DOB[18] (1914:2394:2394))
          (IOPATH CLKB DOB[19] (1914:2394:2394))
          (IOPATH CLKB DOB[20] (1914:2394:2394))
          (IOPATH CLKB DOB[21] (1914:2394:2394))
          (IOPATH CLKB DOB[22] (1914:2394:2394))
          (IOPATH CLKB DOB[23] (1914:2394:2394))
          (IOPATH CLKB DOB[24] (1914:2394:2394))
          (IOPATH CLKB DOB[25] (1914:2394:2394))
          (IOPATH CLKB DOB[26] (1914:2394:2394))
          (IOPATH CLKB DOB[27] (1914:2394:2394))
          (IOPATH CLKB DOB[28] (1914:2394:2394))
          (IOPATH CLKB DOB[29] (1914:2394:2394))
          (IOPATH CLKB DOB[30] (1914:2394:2394))
          (IOPATH CLKB DOB[31] (1914:2394:2394))
          (IOPATH CLKB DOPB[0] (1914:2394:2394))
          (IOPATH CLKB DOPB[1] (1914:2394:2394))
          (IOPATH CLKB DOPB[2] (1914:2394:2394))
          (IOPATH CLKB DOPB[3] (1914:2394:2394))
        )
      )
      (TIMINGCHECK
        (WIDTH (negedge CLKA) (1092:1366:1366))
        (WIDTH (posedge CLKA) (1092:1366:1366))
        (SETUPHOLD (posedge ENA) (posedge CLKA) (387:484:484)(84:106:106))
        (SETUPHOLD (negedge ENA) (posedge CLKA) (387:484:484)(84:106:106))
        (SETUPHOLD (posedge SSRA) (posedge CLKA) (396:496:496)(94:118:118))
        (SETUPHOLD (negedge SSRA) (posedge CLKA) (396:496:496)(94:118:118))
        (SETUPHOLD (posedge WEA) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge WEA) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge ADDRA[9]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[9]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[8]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[8]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[7]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[7]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[6]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[6]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[5]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[5]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[4]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[4]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[3]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[3]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[2]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[2]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[1]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[1]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRA[0]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRA[0]) (posedge CLKA) (280:350:350)(0))
        (SETUPHOLD (posedge DIA[0]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[0]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[1]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[1]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[2]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[2]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[3]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[3]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[4]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[4]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[5]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[5]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[6]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[6]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[7]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[7]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[8]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[8]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[9]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[9]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[10]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[10]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[11]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[11]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[12]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[12]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[13]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[13]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[14]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[14]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIA[15]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIA[15]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIPA[0]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIPA[0]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (posedge DIPA[1]) (posedge CLKA) (387:484:484)(0))
        (SETUPHOLD (negedge DIPA[1]) (posedge CLKA) (387:484:484)(0))
        (WIDTH (negedge CLKB) (1092:1366:1366))
        (WIDTH (posedge CLKB) (1092:1366:1366))
        (SETUPHOLD (posedge ENB) (posedge CLKB) (387:484:484)(84:106:106))
        (SETUPHOLD (negedge ENB) (posedge CLKB) (387:484:484)(84:106:106))
        (SETUPHOLD (posedge SSRB) (posedge CLKB) (396:496:496)(94:118:118))
        (SETUPHOLD (negedge SSRB) (posedge CLKB) (396:496:496)(94:118:118))
        (SETUPHOLD (posedge WEB) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge WEB) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge ADDRB[8]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[8]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[7]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[7]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[6]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[6]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[5]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[5]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[4]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[4]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[3]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[3]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[2]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[2]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[1]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[1]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge ADDRB[0]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (negedge ADDRB[0]) (posedge CLKB) (280:350:350)(0))
        (SETUPHOLD (posedge DIB[0]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[0]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[1]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[1]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[2]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[2]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[3]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[3]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[4]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[4]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[5]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[5]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[6]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[6]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[7]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[7]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[8]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[8]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[9]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[9]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[10]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[10]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[11]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[11]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[12]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[12]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[13]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[13]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[14]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[14]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[15]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[15]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[16]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[16]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[17]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[17]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[18]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[18]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[19]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[19]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[20]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[20]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[21]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[21]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[22]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[22]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[23]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[23]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[24]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[24]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[25]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[25]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[26]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[26]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[27]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[27]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[28]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[28]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[29]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[29]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[30]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[30]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIB[31]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIB[31]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIPB[0]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIPB[0]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIPB[1]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIPB[1]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIPB[2]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIPB[2]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (posedge DIPB[3]) (posedge CLKB) (387:484:484)(0))
        (SETUPHOLD (negedge DIPB[3]) (posedge CLKB) (387:484:484)(0))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_RD_EN_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (137:172:172))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE s_X2U_RD_EN_F5MUX)
      (DELAY
        (ABSOLUTE
          (PORT IA (160:200:200))
          (PORT IB (160:200:200))
          (PORT SEL (262:328:328))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_RD_EN_BXINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:713:861))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In_map18_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (137:172:172))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In_map18_F5MUX)
      (DELAY
        (ABSOLUTE
          (PORT IA (160:200:200))
          (PORT IB (160:200:200))
          (PORT SEL (262:328:328))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In_map18_BXINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (954:979:1193))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_pr_stateLoop_FFd2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (137:172:172))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE Loopback_pr_stateLoop_FFd2_F5MUX)
      (DELAY
        (ABSOLUTE
          (PORT IA (160:200:200))
          (PORT IB (160:200:200))
          (PORT SEL (262:328:328))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_pr_stateLoop_FFd2_BXINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (903:928:1130))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_pr_stateLoop_FFd2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (695:695:817))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Loopback_pr_stateLoop_FFd2_In2)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (806:806:1008))
          (PORT ADR1 (760:760:950))
          (PORT ADR2 (980:980:1224))
          (PORT ADR3 (440:440:550))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_MUX2")
    (INSTANCE o_RDYX_OBUF_F5MUX)
      (DELAY
        (ABSOLUTE
          (PORT IA (160:200:200))
          (PORT IB (160:200:200))
          (PORT SEL (262:328:328))
          (IOPATH IA O ( 0 )( 0 ))
          (IOPATH IB O ( 0 )( 0 ))
          (IOPATH SEL O ( 0 )( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE o_RDYX_OBUF_BXINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (981:1006:1227))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_not0001_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_not0001_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd3_In11)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (897:897:1121))
          (PORT ADR1 (487:487:609))
          (PORT ADR2 (430:430:538))
          (PORT ADR3 (894:894:1118))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd3_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (756:756:890))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd3_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (656:656:819))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_3_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (746:746:877))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_3_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (582:582:728))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd4_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (735:735:865))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd4_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (652:652:815))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_1_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (811:811:954))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_0_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (738:738:868))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_0_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (760:760:894))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_0_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (662:662:828))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_0_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_0_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (760:760:894))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_1_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (731:731:860))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd2_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (756:756:890))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (656:656:819))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (738:738:868))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (783:783:921))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_3_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1019:1027:1274))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (738:738:868))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00051)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (802:802:1003))
          (PORT ADR1 (241:241:302))
          (PORT ADR2 (321:321:401))
          (PORT ADR3 (382:382:477))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2803:2811:3504))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (811:811:954))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00051)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1272:1272:1590))
          (PORT ADR1 (1083:1083:1354))
          (PORT ADR2 (887:887:1108))
          (PORT ADR3 (409:409:512))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_GYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1297:1305:1622))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (738:738:868))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00021)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (795:795:993))
          (PORT ADR1 (1170:1170:1462))
          (PORT ADR2 (324:324:405))
          (PORT ADR3 (586:586:733))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_5_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_5_GYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_5_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2803:2811:3505))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (823:823:968))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00021)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (733:733:916))
          (PORT ADR1 (1313:1313:1641))
          (PORT ADR2 (565:565:706))
          (PORT ADR3 (625:625:781))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_7_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1001:1009:1252))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (765:765:900))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1264:1264:1580))
          (PORT ADR2 (849:849:1062))
          (PORT ADR3 (915:915:1144))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2803:2811:3505))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (823:823:968))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (733:733:916))
          (PORT ADR2 (565:565:706))
          (PORT ADR3 (949:949:1186))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_1_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1911:1919:2390))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (790:790:930))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0007_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (539:539:674))
          (PORT ADR2 (348:348:435))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2651:2659:3314))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (732:732:861))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0007_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (799:799:998))
          (PORT ADR2 (905:905:1131))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_3_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1921:1929:2402))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (804:804:946))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0005_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (509:509:636))
          (PORT ADR3 (388:388:485))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1253:1261:1567))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (729:729:858))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0005_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1332:1332:1665))
          (PORT ADR1 (1360:1360:1701))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_5_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1921:1929:2402))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (804:804:946))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0003_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (565:565:706))
          (PORT ADR3 (426:426:532))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1259:1267:1575))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (729:729:858))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0003_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (994:994:1243))
          (PORT ADR2 (935:935:1169))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_7_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1636:1644:2046))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (775:775:912))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0001_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (849:849:1061))
          (PORT ADR1 (718:718:897))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1263:1271:1580))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (708:708:833))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0001_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (752:752:940))
          (PORT ADR3 (873:873:1091))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_AM_FULL_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_AM_FULL_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_AM_FULL_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (695:695:817))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE s_X2U_AM_FULL_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (591:591:739))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_AM_FULL_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_AM_FULL_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (751:751:883))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE s_U2X_AM_FULL_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (965:965:1207))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (760:760:894))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_3_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1345:1353:1683))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (754:754:887))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00051)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1959:1959:2448))
          (PORT ADR1 (145:145:182))
          (PORT ADR2 (986:986:1233))
          (PORT ADR3 (1412:1412:1765))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (731:731:860))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (864:872:1080))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (731:731:860))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00051)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1123:1123:1404))
          (PORT ADR1 (993:993:1242))
          (PORT ADR2 (1237:1237:1547))
          (PORT ADR3 (1422:1422:1777))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_GYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1284:1292:1606))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (754:754:887))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00021)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1024:1024:1280))
          (PORT ADR1 (786:786:983))
          (PORT ADR2 (1122:1122:1403))
          (PORT ADR3 (625:625:781))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_7_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1284:1292:1606))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (754:754:887))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1024:1024:1280))
          (PORT ADR2 (1122:1122:1403))
          (PORT ADR3 (625:625:781))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_5_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O ( 0 ))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_5_GYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_5_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (979:987:1225))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (690:690:812))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00021)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (491:491:613))
          (PORT ADR1 (997:997:1246))
          (PORT ADR2 (300:300:375))
          (PORT ADR3 (1166:1166:1457))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1127:1135:1410))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (716:716:843))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1072:1072:1340))
          (PORT ADR1 (901:901:1127))
          (PORT ADR2 (905:905:1132))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_1_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (746:746:877))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_1_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (573:573:716))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_AM_EMPTY_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_AM_EMPTY_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_AM_EMPTY_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (690:690:812))
        )
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE s_U2X_AM_EMPTY_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (663:663:829))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_1_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1682:1690:2103))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (690:690:812))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0007_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (582:582:728))
          (PORT ADR2 (424:424:530))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2582:2590:3229))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (830:830:976))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0007_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2596:2596:3246))
          (PORT ADR3 (1332:1332:1665))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_3_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (759:767:949))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (661:661:777))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0005_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (439:439:548))
          (PORT ADR3 (341:341:426))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1827:1835:2285))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (830:830:976))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0005_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2255:2255:2819))
          (PORT ADR3 (1755:1755:2193))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_5_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1576:1584:1971))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (676:676:795))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0003_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (396:396:494))
          (PORT ADR3 (654:654:818))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2211:2219:2765))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (823:823:968))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0003_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2222:2222:2777))
          (PORT ADR3 (2072:2072:2590))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_7_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1034:1042:1294))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (685:685:806))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0001_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (493:493:616))
          (PORT ADR2 (375:375:469))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1736:1744:2171))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (775:775:911))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0001_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1861:1861:2326))
          (PORT ADR3 (1993:1993:2491))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_EMPTY_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_EMPTY_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_EMPTY_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (729:729:857))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_EMPTY_NONREG_i1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (292:292:365))
          (PORT ADR1 (399:399:498))
          (PORT ADR2 (646:646:808))
          (PORT ADR3 (614:614:767))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_pr_stateLoop_FFd3_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_pr_stateLoop_FFd3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_pr_stateLoop_FFd3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (695:695:817))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_pr_stateLoop_FFd3_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1179:1179:1474))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_full_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_full_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (719:719:845))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE o_LEDrx_OBUF_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd3_In67)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (685:685:856))
          (PORT ADR1 (3005:3005:3756))
          (PORT ADR2 (437:437:546))
          (PORT ADR3 (454:454:567))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_o_RDYX_map9_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_o_RDYX_map9_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd3_In59)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (908:908:1135))
          (PORT ADR1 (1304:1304:1630))
          (PORT ADR2 (477:477:596))
          (PORT ADR3 (454:454:567))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1208:1233:1511))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1552:1588:1941))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (859:859:1011))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (634:659:794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (687:723:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (859:859:1011))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1208:1233:1511))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1552:1588:1941))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (837:837:984))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (634:659:794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (687:723:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (837:837:984))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_9_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1208:1233:1511))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1552:1588:1941))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (798:798:939))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In_map30_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In_map30_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In96)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2301:2301:2876))
          (PORT ADR2 (2107:2107:2634))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_fb_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:686:813))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_fb_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (734:734:863))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_fb_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (914:950:1142))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_fb_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:810))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N124_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N124_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_352)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (520:520:649))
          (PORT ADR1 (546:546:682))
          (PORT ADR2 (364:364:456))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N350_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N350_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_Out11)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (627:627:784))
          (PORT ADR1 (1135:1135:1419))
          (PORT ADR2 (961:961:1201))
          (PORT ADR3 (487:487:609))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd2_In_map8_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_Out61)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (767:767:959))
          (PORT ADR2 (967:967:1208))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_o_RDYX_map19_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_Out91)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (899:899:1124))
          (PORT ADR1 (921:921:1151))
          (PORT ADR2 (671:671:839))
          (PORT ADR3 (1273:1273:1591))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd1_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (760:760:894))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_FFd1_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (643:643:804))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_s_bus_trans_dir_inv_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_s_bus_trans_dir_inv_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd1_In_SW1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2599:2599:3249))
          (PORT ADR1 (2595:2595:3243))
          (PORT ADR2 (612:612:765))
          (PORT ADR3 (1114:1114:1393))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_11_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (634:659:794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_11_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (687:723:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_11_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (798:798:939))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_13_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (634:659:794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_13_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (687:723:860))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_13_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (762:762:896))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_21_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (929:954:1162))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_21_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1509:1545:1887))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_21_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (837:837:984))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_15_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1209:1234:1512))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_15_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1553:1589:1941))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_15_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (762:762:896))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_23_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (634:659:794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_23_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (687:723:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_23_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (837:837:984))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_31_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (634:659:794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_31_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (687:723:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_31_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (762:762:896))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_17_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (929:954:1162))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_17_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1261:1297:1576))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_17_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (859:859:1011))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_25_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (929:954:1162))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_25_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1261:1297:1577))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_25_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (798:798:939))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_19_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (634:659:794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_19_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (687:723:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_19_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (859:859:1011))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_27_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (634:659:794))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_27_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (687:723:859))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_27_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (798:798:939))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_29_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (929:954:1162))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_29_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1509:1545:1887))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE Loopback_o_X2U_DATA_29_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (762:762:896))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1493:1529:1867))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (751:751:883))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1203:1239:1504))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (794:794:935))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_full_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_full_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_full_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (706:706:830))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Loopback_pr_stateLoop_Out11)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (521:521:651))
          (PORT ADR3 (440:440:550))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_memblk_tmp_ram_rd_en_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_memblk_tmp_ram_rd_en1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (986:986:1232))
          (PORT ADR1 (823:823:1028))
          (PORT ADR2 (316:316:395))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (143:179:179))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (742:742:873))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1504:1504:1880))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (143:179:179))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (676:676:795))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (683:683:853))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1625:1650:2032))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1170:1206:1463))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_1_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2048:2056:2561))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (800:800:941))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1237:1262:1548))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1221:1257:1527))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1691:1699:2114))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (677:677:797))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (868:893:1086))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1415:1451:1769))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_3_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2021:2029:2527))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (775:775:911))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1372:1397:1716))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (944:980:1180))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1315:1323:1645))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (700:700:824))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1114:1139:1393))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (657:693:821))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_5_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2289:2297:2862))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (772:772:908))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1178:1203:1474))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1221:1257:1527))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1043:1051:1305))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (671:671:789))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (609:634:762))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (907:943:1134))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_7_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2289:2297:2862))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (772:772:908))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1238:1263:1548))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1221:1257:1527))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (765:773:957))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:650:765))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (684:720:856))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (809:809:952))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1434:1470:1793))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:650:765))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (143:179:179))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (714:714:840))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (736:736:920))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (143:179:179))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (688:688:810))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (661:661:826))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_N86_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor000611_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (727:727:908))
          (PORT ADR2 (332:332:415))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_N86_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor000611_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (694:694:867))
          (PORT ADR3 (333:333:417))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1022:1058:1278))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (827:827:973))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (995:1031:1244))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (694:694:817))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N30_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE N30_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_o_RDYX3)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1900:1900:2376))
          (PORT ADR3 (2135:2135:2669))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (603:628:755))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1167:1203:1459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_1_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1276:1284:1596))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (676:676:796))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (616:641:771))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (677:713:847))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2178:2186:2723))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (823:823:969))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (947:983:1184))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (752:752:885))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (603:628:755))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:686:813))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_3_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1308:1316:1636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (707:707:832))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (720:756:900))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (676:676:795))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (616:641:771))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (909:945:1137))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2197:2205:2748))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (823:823:969))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (603:628:755))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:686:813))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_5_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1308:1316:1636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (707:707:832))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (609:634:762))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (684:720:856))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2206:2214:2758))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (821:821:966))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (612:637:766))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:686:813))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_7_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (739:747:924))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (704:704:829))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (609:634:762))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (684:720:856))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1916:1924:2396))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (774:774:910))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (630:666:787))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (704:704:829))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (678:714:847))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (773:773:909))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1485:1521:1857))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (704:704:828))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_0_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (720:756:900))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_0_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (676:676:795))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_pr_state_not0001_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_not00011)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (474:474:592))
          (PORT ADR2 (675:675:844))
          (PORT ADR3 (335:335:418))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (870:895:1088))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1208:1244:1511))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_1_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1224:1232:1531))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (792:792:931))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (616:641:771))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (677:713:847))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (985:993:1233))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (677:677:797))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (609:634:762))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (685:721:856))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_3_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1736:1744:2171))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (775:775:911))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1151:1176:1440))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1198:1234:1498))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1316:1324:1647))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (653:653:768))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (894:919:1119))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (941:977:1176))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_5_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1219:1227:1525))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (769:769:905))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (868:893:1086))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (915:951:1144))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (767:775:959))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (653:653:768))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1517:1542:1897))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1493:1529:1867))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_7_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1625:1633:2033))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (764:764:899))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (610:635:764))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (657:693:822))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (767:775:959))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (653:653:768))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1176:1212:1471))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (775:775:912))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (677:713:847))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:650:765))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1199:1235:1499))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (764:764:899))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1457:1493:1821))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (723:723:851))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_EMPTY_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_EMPTY_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_EMPTY_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (678:678:797))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (982:1018:1227))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (685:685:806))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1841:1877:2302))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (772:772:908))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE o_WRX_OBUF_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_o_WRX_SW1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2370:2370:2962))
          (PORT ADR3 (2426:2426:3032))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_1_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (603:628:755))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_1_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:686:813))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_1_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1819:1827:2275))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_1_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (691:691:813))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (603:628:755))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_2_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1167:1203:1459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_2_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1898:1906:2374))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (826:826:972))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_3_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (854:879:1068))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_3_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:686:813))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_3_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (996:1004:1246))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_3_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (716:716:842))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_4_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (603:628:755))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_4_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (677:713:847))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_4_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2191:2199:2740))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_4_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (826:826:972))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_5_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (609:634:762))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_5_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (677:713:847))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_5_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1299:1307:1625))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_5_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (707:707:832))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_N90_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor000611_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (729:729:912))
          (PORT ADR3 (1127:1127:1408))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_6_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (893:918:1117))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_6_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (959:995:1199))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_6_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2191:2199:2740))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_6_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (826:826:972))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_N90_XUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor000611_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1014:1014:1268))
          (PORT ADR3 (320:320:400))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_7_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (609:634:762))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_7_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1471:1507:1839))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_7_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1291:1299:1615))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_7_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (734:734:863))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1238:1263:1548))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1192:1228:1490))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8_SRINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1929:1937:2412))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (768:768:903))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (650:686:813))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (734:734:863))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_9_DYMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (677:713:847))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_9_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (773:773:909))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_2_DXMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_2_YUSED)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (9:12:12))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_2_CLKINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (746:746:877))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE FSM_GPIF_v_setup_2_CEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (582:582:728))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (752:752:940))
          (PORT ADR1 (1039:1039:1298))
          (PORT ADR2 (611:611:764))
          (PORT ADR3 (404:404:505))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (723:723:903))
          (PORT ADR1 (780:780:975))
          (PORT ADR2 (833:833:1041))
          (PORT ADR3 (648:648:811))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (798:798:998))
          (PORT ADR1 (527:527:659))
          (PORT ADR2 (1096:1096:1370))
          (PORT ADR3 (351:351:439))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (506:506:633))
          (PORT ADR1 (498:498:623))
          (PORT ADR2 (863:863:1079))
          (PORT ADR3 (1170:1170:1463))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1253:1253:1566))
          (PORT ADR1 (499:499:624))
          (PORT ADR2 (598:598:748))
          (PORT ADR3 (875:875:1094))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1015:1015:1268))
          (PORT ADR1 (786:786:983))
          (PORT ADR2 (1151:1151:1439))
          (PORT ADR3 (351:351:439))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (497:497:621))
          (PORT ADR1 (833:833:1041))
          (PORT ADR2 (640:640:800))
          (PORT ADR3 (650:650:812))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1027:1027:1283))
          (PORT ADR1 (551:551:689))
          (PORT ADR2 (616:616:770))
          (PORT ADR3 (680:680:850))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (839:839:1049))
          (PORT ADR1 (426:426:532))
          (PORT ADR2 (386:386:483))
          (PORT ADR3 (311:311:389))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (579:579:724))
          (PORT ADR1 (694:694:868))
          (PORT ADR2 (616:616:770))
          (PORT ADR3 (359:359:448))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (802:802:1002))
          (PORT ADR1 (1220:1220:1525))
          (PORT ADR2 (567:567:709))
          (PORT ADR3 (624:624:780))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (858:858:1073))
          (PORT ADR1 (673:673:841))
          (PORT ADR2 (616:616:770))
          (PORT ADR3 (333:333:416))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (532:532:665))
          (PORT ADR1 (521:521:651))
          (PORT ADR2 (353:353:441))
          (PORT ADR3 (364:364:455))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (491:491:614))
          (PORT ADR1 (995:995:1243))
          (PORT ADR2 (616:616:769))
          (PORT ADR3 (690:690:862))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (839:839:1049))
          (PORT ADR1 (1050:1050:1312))
          (PORT ADR2 (358:358:447))
          (PORT ADR3 (647:647:809))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1337:1337:1671))
          (PORT ADR1 (533:533:666))
          (PORT ADR2 (362:362:453))
          (PORT ADR3 (987:987:1233))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (603:603:754))
          (PORT ADR1 (427:427:534))
          (PORT ADR2 (389:389:487))
          (PORT ADR3 (832:832:1040))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (568:568:710))
          (PORT ADR1 (925:925:1156))
          (PORT ADR2 (678:678:848))
          (PORT ADR3 (306:306:382))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (479:479:598))
          (PORT ADR1 (499:499:624))
          (PORT ADR2 (285:285:356))
          (PORT ADR3 (386:386:482))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (500:500:625))
          (PORT ADR1 (537:537:671))
          (PORT ADR2 (586:586:733))
          (PORT ADR3 (351:351:439))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (859:859:1074))
          (PORT ADR1 (1035:1035:1293))
          (PORT ADR2 (628:628:784))
          (PORT ADR3 (639:639:799))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (845:845:1056))
          (PORT ADR1 (705:705:881))
          (PORT ADR2 (632:632:790))
          (PORT ADR3 (841:841:1051))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (858:858:1072))
          (PORT ADR1 (492:492:615))
          (PORT ADR2 (404:404:505))
          (PORT ADR3 (323:323:404))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1103:1103:1379))
          (PORT ADR1 (714:714:893))
          (PORT ADR2 (909:909:1137))
          (PORT ADR3 (592:592:740))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (608:608:760))
          (PORT ADR1 (723:723:904))
          (PORT ADR2 (389:389:487))
          (PORT ADR3 (600:600:750))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (568:568:710))
          (PORT ADR1 (951:951:1189))
          (PORT ADR2 (568:568:710))
          (PORT ADR3 (321:321:401))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_1_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (583:583:729))
          (PORT ADR1 (381:381:476))
          (PORT ADR2 (345:345:431))
          (PORT ADR3 (305:305:381))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_3_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (549:549:686))
          (PORT ADR1 (1247:1247:1559))
          (PORT ADR2 (358:358:448))
          (PORT ADR3 (326:326:408))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_10)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_10_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_10_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (884:884:1040))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_10_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (985:985:1231))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_11)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_11_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_11_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (825:825:970))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_11_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1623:1623:2029))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_12)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_12_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_12_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (891:891:1048))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_12_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1225:1225:1531))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_13)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_13_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_13_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (879:879:1035))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_13_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (621:621:777))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_14)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_14_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_14_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (868:868:1021))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_14_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (890:890:1112))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_15)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_15_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_15_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (868:868:1021))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_15_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (894:894:1117))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_0_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_0_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (879:879:1035))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_0_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (621:621:777))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_1_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_1_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (879:879:1035))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_1_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1178:1178:1472))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_2_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_2_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (885:885:1041))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_2_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1258:1258:1572))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_3_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_3_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (885:885:1041))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_3_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1475:1475:1843))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_4_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_4_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (881:881:1037))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_4_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1507:1507:1884))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_5_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_5_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (881:881:1037))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_5_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1539:1539:1924))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_6_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_6_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (865:865:1018))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_6_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1706:1706:2133))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_7_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_7_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (865:865:1018))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_7_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2109:2109:2637))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_8_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_8_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (825:825:970))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_8_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1605:1605:2007))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_o_dbus_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (207:259:259)(207:259:259))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (negedge I) (posedge CLK) (327:409:409)(439:549:549))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_9_IFF_IFFDMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4508:5636:5636))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_9_IFF_ICLK1INV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (884:884:1040))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_9_IFF_ICEINV)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1474:1474:1842))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_s_X2U_RD_EN2)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (613:613:766))
          (PORT ADR1 (2413:2413:3016))
          (PORT ADR2 (1873:1873:2341))
          (PORT ADR3 (394:394:492))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In412)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1107:1107:1384))
          (PORT ADR1 (2033:2033:2542))
          (PORT ADR2 (624:624:780))
          (PORT ADR3 (2188:2188:2735))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_o_RDYX59_F)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2813:2813:3516))
          (PORT ADR1 (2604:2604:3255))
          (PORT ADR2 (387:387:483))
          (PORT ADR3 (487:487:609))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (515:515:644))
          (PORT ADR1 (482:482:602))
          (PORT ADR2 (856:856:1070))
          (PORT ADR3 (597:597:747))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (479:479:599))
          (PORT ADR1 (469:469:586))
          (PORT ADR2 (339:339:423))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1040:1040:1300))
          (PORT ADR1 (1017:1017:1271))
          (PORT ADR3 (337:337:422))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (725:725:906))
          (PORT ADR1 (498:498:623))
          (PORT ADR2 (873:873:1092))
          (PORT ADR3 (571:571:714))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (516:516:645))
          (PORT ADR1 (471:471:589))
          (PORT ADR2 (883:883:1104))
          (PORT ADR3 (630:630:788))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (803:803:1004))
          (PORT ADR1 (442:442:553))
          (PORT ADR2 (676:676:845))
          (PORT ADR3 (317:317:396))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1056:1056:1320))
          (PORT ADR1 (455:455:569))
          (PORT ADR2 (332:332:415))
          (PORT ADR3 (880:880:1100))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (757:757:946))
          (PORT ADR1 (492:492:615))
          (PORT ADR2 (357:357:446))
          (PORT ADR3 (633:633:791))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (817:817:1021))
          (PORT ADR1 (729:729:911))
          (PORT ADR2 (367:367:459))
          (PORT ADR3 (358:358:448))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (491:491:613))
          (PORT ADR1 (514:514:642))
          (PORT ADR2 (356:356:446))
          (PORT ADR3 (378:378:472))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp1_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1012:1012:1264))
          (PORT ADR1 (471:471:589))
          (PORT ADR2 (337:337:422))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae2_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (489:489:611))
          (PORT ADR1 (459:459:574))
          (PORT ADR2 (329:329:411))
          (PORT ADR3 (333:333:417))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_cae1_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (515:515:644))
          (PORT ADR1 (1024:1024:1280))
          (PORT ADR2 (880:880:1100))
          (PORT ADR3 (336:336:420))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (507:507:634))
          (PORT ADR1 (513:513:641))
          (PORT ADR2 (605:605:757))
          (PORT ADR3 (325:325:407))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1346:1346:1683))
          (PORT ADR1 (1598:1598:1998))
          (PORT ADR3 (642:642:803))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (769:769:961))
          (PORT ADR2 (893:893:1117))
          (PORT ADR3 (356:356:444))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (512:512:640))
          (PORT ADR1 (522:522:653))
          (PORT ADR2 (937:937:1172))
          (PORT ADR3 (366:366:458))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (797:797:996))
          (PORT ADR1 (514:514:642))
          (PORT ADR2 (339:339:424))
          (PORT ADR3 (378:378:472))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_0_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (796:796:995))
          (PORT ADR2 (1475:1475:1844))
          (PORT ADR3 (1165:1165:1456))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (595:595:744))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (348:348:435))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (336:336:420))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (315:315:394))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (361:361:451))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (469:469:586))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_rt)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (470:470:588))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_s_X2U_RD_EN1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (496:496:620))
          (PORT ADR1 (2513:2513:3141))
          (PORT ADR2 (1819:1819:2274))
          (PORT ADR3 (374:374:467))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In411)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (525:525:657))
          (PORT ADR1 (500:500:625))
          (PORT ADR3 (2115:2115:2644))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Loopback_pr_stateLoop_FFd2_In1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (507:507:634))
          (PORT ADR3 (367:367:459))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_o_RDYX59_G)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2864:2864:3580))
          (PORT ADR1 (410:410:512))
          (PORT ADR2 (580:580:724))
          (PORT ADR3 (2678:2678:3347))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd3_In98_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (195:195:244))
          (PORT ADR1 (210:210:262))
          (PORT ADR2 (541:541:677))
          (PORT ADR3 (2714:2714:3392))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_332)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (608:608:760))
          (PORT ADR1 (462:462:577))
          (PORT ADR2 (402:402:502))
          (PORT ADR3 (339:339:424))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In13)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (255:255:319))
          (PORT ADR1 (541:541:676))
          (PORT ADR2 (548:548:684))
          (PORT ADR3 (699:699:874))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor000611_SW1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (791:791:989))
          (PORT ADR1 (786:786:983))
          (PORT ADR2 (887:887:1108))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor000611_SW1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (708:708:885))
          (PORT ADR1 (517:517:646))
          (PORT ADR3 (621:621:777))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_0_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (508:508:635))
          (PORT ADR1 (759:759:949))
          (PORT ADR2 (2342:2342:2928))
          (PORT ADR3 (2128:2128:2661))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor000611_SW1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (846:846:1058))
          (PORT ADR1 (665:665:831))
          (PORT ADR3 (1147:1147:1433))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor000611_SW1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (769:769:961))
          (PORT ADR2 (602:602:753))
          (PORT ADR3 (925:925:1157))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd2_In5)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (627:627:784))
          (PORT ADR1 (591:591:739))
          (PORT ADR3 (487:487:609))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00062)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (862:862:1077))
          (PORT ADR1 (381:381:476))
          (PORT ADR2 (87:87:109))
          (PORT ADR3 (629:629:786))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00062)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (872:872:1089))
          (PORT ADR1 (656:656:820))
          (PORT ADR2 (1194:1194:1492))
          (PORT ADR3 (1082:1082:1352))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_almost_full_i_or00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (786:786:982))
          (PORT ADR1 (1164:1164:1455))
          (PORT ADR2 (956:956:1195))
          (PORT ADR3 (591:591:739))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00062)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (724:724:905))
          (PORT ADR1 (1081:1081:1351))
          (PORT ADR2 (1143:1143:1429))
          (PORT ADR3 (74:74:93))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00062)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1343:1343:1679))
          (PORT ADR1 (375:375:468))
          (PORT ADR2 (1237:1237:1547))
          (PORT ADR3 (1422:1422:1777))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (770:770:963))
          (PORT ADR1 (480:480:600))
          (PORT ADR2 (366:366:457))
          (PORT ADR3 (410:410:513))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_almost_empty_i_or00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (872:872:1090))
          (PORT ADR1 (694:694:868))
          (PORT ADR2 (665:665:832))
          (PORT ADR3 (315:315:393))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Loopback_pr_stateLoop_FFd3_In1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (850:850:1063))
          (PORT ADR1 (1076:1076:1344))
          (PORT ADR2 (606:606:757))
          (PORT ADR3 (633:633:791))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_FULL_NONREG_i1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (533:533:667))
          (PORT ADR2 (410:410:513))
          (PORT ADR3 (367:367:459))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd1_In_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (2438:2438:3048))
          (PORT ADR2 (1881:1881:2351))
          (PORT ADR3 (334:334:417))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE Loopback_s_U2X_RD_EN1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (441:441:551))
          (PORT ADR2 (437:437:547))
          (PORT ADR3 (311:311:389))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_1211)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2615:2615:3269))
          (PORT ADR2 (2446:2446:3058))
          (PORT ADR3 (339:339:424))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (798:798:997))
          (PORT ADR1 (710:710:888))
          (PORT ADR2 (618:618:773))
          (PORT ADR3 (881:881:1102))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf2_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (766:766:957))
          (PORT ADR1 (719:719:898))
          (PORT ADR2 (337:337:422))
          (PORT ADR3 (1084:1084:1356))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (807:807:1009))
          (PORT ADR1 (719:719:898))
          (PORT ADR2 (338:338:422))
          (PORT ADR3 (599:599:749))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c2_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (782:782:978))
          (PORT ADR1 (509:509:637))
          (PORT ADR2 (601:601:751))
          (PORT ADR3 (335:335:419))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2208:2216:2761)(2208:2216:2761))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (493:493:616))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (310:310:388))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (355:355:444))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_caf1_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (499:499:623))
          (PORT ADR1 (717:717:897))
          (PORT ADR2 (362:362:453))
          (PORT ADR3 (362:362:453))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (753:753:941))
          (PORT ADR1 (747:747:934))
          (PORT ADR2 (874:874:1092))
          (PORT ADR3 (626:626:782))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_c1_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (488:488:611))
          (PORT ADR1 (1324:1324:1656))
          (PORT ADR2 (386:386:483))
          (PORT ADR3 (615:615:769))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (783:783:979))
          (PORT ADR1 (493:493:616))
          (PORT ADR2 (337:337:422))
          (PORT ADR3 (588:588:735))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_comp2_v1_2_and00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (516:516:645))
          (PORT ADR1 (517:517:647))
          (PORT ADR2 (594:594:743))
          (PORT ADR3 (317:317:396))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (331:331:414))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2471:2479:3090)(2471:2479:3090))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (339:339:423))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2501:2509:3127)(2501:2509:3127))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2767:2775:3459)(2767:2775:3459))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (315:315:394))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (310:310:388))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (455:455:569))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (315:315:394))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (494:494:617))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (518:518:647))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0000_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1930:1930:2412))
          (PORT ADR1 (1903:1903:2379))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_RAM_EMPTY_i)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_EMPTY_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (800:808:1001)(800:808:1001))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_rpremod_RAM_RD_EN1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (175:175:219))
          (PORT ADR3 (364:364:455))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_pr_stateLoop_FFd3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE Loopback_pr_stateLoop_FFd3_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4381:4389:5477)(4381:4389:5477))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_rpremod_RAM_RD_EN1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (521:521:651))
          (PORT ADR1 (1118:1118:1397))
          (PORT ADR2 (384:384:480))
          (PORT ADR3 (340:340:425))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1034:1042:1294)(1034:1042:1294))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (634:634:792))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus1_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1575:1583:1970)(1575:1583:1970))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_Mcount_count_lut_0_INV_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (474:474:593))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1300:1308:1626)(1300:1308:1626))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_pr_stateLoop_FFd2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE Loopback_pr_stateLoop_FFd2_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3840:3848:4801)(3840:3848:4801))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_v_setup_not0001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (440:440:550))
          (PORT ADR1 (2277:2277:2846))
          (PORT ADR2 (2127:2127:2659))
          (PORT ADR3 (62:62:77))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd3_In98)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1659:1659:2074))
          (PORT ADR1 (2536:2536:3170))
          (PORT ADR2 (12:12:15))
          (PORT ADR3 (286:286:358))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_pr_state_FFd3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE FSM_GPIF_pr_state_FFd3_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4128:4136:5161)(4128:4136:5161))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_354)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (444:444:555))
          (PORT ADR1 (822:822:1028))
          (PORT ADR2 (14:14:17))
          (PORT ADR3 (12:12:15))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_v_setup_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE FSM_GPIF_v_setup_3_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4409:4417:5512)(4409:4417:5512))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In108)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (745:745:931))
          (PORT ADR1 (950:950:1187))
          (PORT ADR2 (12:12:15))
          (PORT ADR3 (395:395:494))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd4_In103)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1369:1369:1711))
          (PORT ADR1 (546:546:683))
          (PORT ADR2 (407:407:509))
          (PORT ADR3 (12:12:15))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_fb)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_fb_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (646:654:809)(646:654:809))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_fb)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_fb_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1767:1775:2210)(1767:1775:2210))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_v_setup_not0001_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (508:508:634))
          (PORT ADR1 (461:461:576))
          (PORT ADR2 (348:348:435))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd3_In20_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (615:615:769))
          (PORT ADR1 (1050:1050:1313))
          (PORT ADR2 (944:944:1180))
          (PORT ADR3 (414:414:518))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd2_In17)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1354:1354:1692))
          (PORT ADR1 (810:810:1012))
          (PORT ADR2 (992:992:1240))
          (PORT ADR3 (558:558:697))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_o_RDYX47)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (887:887:1109))
          (PORT ADR1 (836:836:1045))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd1_In)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1094:1094:1368))
          (PORT ADR1 (695:695:868))
          (PORT ADR2 (658:658:822))
          (PORT ADR3 (12:12:15))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00041)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (999:999:1248))
          (PORT ADR2 (850:850:1063))
          (PORT ADR3 (337:337:421))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00032)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (33:33:42))
          (PORT ADR3 (609:609:761))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00032)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (1139:1139:1424))
          (PORT ADR3 (19:19:24))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00071)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1584:1584:1979))
          (PORT ADR1 (1307:1307:1634))
          (PORT ADR2 (881:881:1101))
          (PORT ADR3 (12:12:15))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_1_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (864:872:1080)(864:872:1080))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_FFd2_In32)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (3360:3360:4200))
          (PORT ADR1 (2536:2536:3170))
          (PORT ADR2 (567:567:709))
          (PORT ADR3 (12:12:15))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_pr_state_FFd2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE FSM_GPIF_pr_state_FFd2_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4128:4136:5161)(4128:4136:5161))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_1_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1297:1305:1622)(1297:1305:1622))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_2_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1619:1627:2025)(1619:1627:2025))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00041)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (790:790:988))
          (PORT ADR1 (156:156:196))
          (PORT ADR2 (304:304:380))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_pr_state_FFd4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE FSM_GPIF_pr_state_FFd4_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3824:3832:4781)(3824:3832:4781))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00071)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1344:1344:1679))
          (PORT ADR1 (420:420:525))
          (PORT ADR2 (850:850:1063))
          (PORT ADR3 (337:337:421))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_1_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2803:2811:3504)(2803:2811:3504))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00071)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (790:790:988))
          (PORT ADR1 (156:156:196))
          (PORT ADR2 (12:12:15))
          (PORT ADR3 (591:591:739))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_0_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1019:1027:1274)(1019:1027:1274))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (793:793:992))
          (PORT ADR1 (745:745:931))
          (PORT ADR2 (361:361:451))
          (PORT ADR3 (22:22:27))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_v_setup_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE FSM_GPIF_v_setup_0_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3833:3841:4792)(3833:3841:4792))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00071)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (743:743:928))
          (PORT ADR1 (1282:1282:1602))
          (PORT ADR2 (12:12:15))
          (PORT ADR3 (645:645:806))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_0_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1346:1354:1684)(1346:1354:1684))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0004_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (2009:2009:2511))
          (PORT ADR3 (1735:1735:2168))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0002_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (342:342:427))
          (PORT ADR3 (376:376:470))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0002_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2163:2163:2704))
          (PORT ADR3 (1999:1999:2499))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0000_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (358:358:448))
          (PORT ADR3 (361:361:451))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0006_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (534:534:668))
          (PORT ADR2 (370:370:463))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0006_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2584:2584:3231))
          (PORT ADR3 (1985:1985:2481))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_Mxor_pntr_gc_xor0004_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (805:805:1006))
          (PORT ADR1 (481:481:601))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (786:786:982))
          (PORT ADR2 (842:842:1053))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_xor00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (548:548:685))
          (PORT ADR3 (876:876:1095))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0006_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (331:331:414))
          (PORT ADR3 (331:331:414))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0006_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (888:888:1110))
          (PORT ADR3 (619:619:773))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0004_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (480:480:600))
          (PORT ADR3 (316:316:394))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0004_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1320:1320:1650))
          (PORT ADR1 (1005:1005:1256))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0002_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (340:340:425))
          (PORT ADR3 (353:353:441))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0002_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1037:1037:1296))
          (PORT ADR3 (859:859:1074))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0000_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (732:732:915))
          (PORT ADR2 (670:670:838))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_Mxor_pntr_gc_xor0000_Result1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (795:795:993))
          (PORT ADR2 (624:624:780))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_almost_full_i)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_X2U_AM_FULL_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (914:922:1143)(914:922:1143))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_wpremod_RAM_WR_EN1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (774:774:967))
          (PORT ADR1 (507:507:634))
          (PORT ADR3 (367:367:459))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_almost_full_i_or00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (453:453:567))
          (PORT ADR1 (494:494:617))
          (PORT ADR2 (1196:1196:1496))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aflogic_almost_full_i)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_AM_FULL_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1322:1330:1654)(1322:1330:1654))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_1_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1572:1580:1966)(1572:1580:1966))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00041)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1842:1842:2302))
          (PORT ADR1 (188:188:235))
          (PORT ADR3 (645:645:807))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_2_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (864:872:1080)(864:872:1080))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00041)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1017:1017:1272))
          (PORT ADR2 (1184:1184:1480))
          (PORT ADR3 (594:594:742))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00032)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (1056:1056:1320))
          (PORT ADR2 (32:32:41))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1012:1012:1265))
          (PORT ADR3 (877:877:1096))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00032)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (798:798:998))
          (PORT ADR2 (39:39:49))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_xor00001)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (852:852:1065))
          (PORT ADR3 (560:560:700))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_v_setup_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE FSM_GPIF_v_setup_1_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4376:4384:5471)(4376:4384:5471))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_2_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (471:471:589))
          (PORT ADR3 (338:338:422))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_aelogic_almost_empty_i)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_AM_EMPTY_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1682:1690:2103)(1682:1690:2103))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_memblk_tmp_ram_rd_en1)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (736:736:921))
          (PORT ADR2 (1100:1100:1375))
          (PORT ADR3 (664:664:830))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_16)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_17)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_24)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_25)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_18)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_19)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_26)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_27)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_28)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_29)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_w_8_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1322:1330:1654)(1322:1330:1654))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_PNTR_B_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_w_9_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1619:1627:2025)(1619:1627:2025))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_pr_state_FFd1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE FSM_GPIF_pr_state_FFd1_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4117:4125:5148)(4117:4125:5148))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_s_bus_trans_dir_inv1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (2587:2587:3234))
          (PORT ADR1 (2510:2510:3137))
          (PORT ADR2 (596:596:744))
          (PORT ADR3 (1246:1246:1557))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_10)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_11)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_12)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_13)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_20)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_21)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_14)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_15)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_22)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_23)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_30)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_31)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_RAM_FULL_i)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_full_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1042:1050:1304)(1042:1050:1304))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_pr_state_Out41)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (568:568:710))
          (PORT ADR2 (383:383:479))
          (PORT ADR3 (434:434:542))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_o_RDYX19)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (791:791:989))
          (PORT ADR1 (1346:1346:1683))
          (PORT ADR2 (423:423:529))
          (PORT ADR3 (434:434:542))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE Loopback_o_X2U_DATA_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_int_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (358:366:449)(358:366:449))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_int_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (990:998:1238)(990:998:1238))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_8_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2203:2211:2754)(2203:2211:2754))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_9_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1796:1804:2246)(1796:1804:2246))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_o_WRX_SW0)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1369:1369:1711))
          (PORT ADR1 (1754:1754:2193))
          (PORT ADR2 (1847:1847:2309))
          (PORT ADR3 (2115:2115:2644))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_8_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2555:2563:3195)(2555:2563:3195))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_9_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1336:1344:1671)(1336:1344:1671))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (917:925:1147)(917:925:1147))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_wr_rst_reg_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1767:1775:2210)(1767:1775:2210))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_FULL_NONREG_i1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (772:772:966))
          (PORT ADR1 (1103:1103:1379))
          (PORT ADR2 (576:576:720))
          (PORT ADR3 (1251:1251:1564))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_flogic_RAM_FULL_i)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_full_FFX_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (913:921:1142)(913:921:1142))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (584:592:730)(584:592:730))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_reg_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1470:1478:1839)(1470:1478:1839))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_8_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1291:1299:1615)(1291:1299:1615))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x_9_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1606:1614:2008)(1606:1614:2008))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_int_0_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_0_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1189:1197:1487)(1189:1197:1487))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_int_0_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_inblk_rd_rst_fb_0_FFY_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1470:1478:1839)(1470:1478:1839))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_8_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2566:2574:3208)(2566:2574:3208))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_pntr_gc_x2_9_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1336:1344:1671)(1336:1344:1671))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_r_8_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1625:1633:2033)(1625:1633:2033))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_wrx_PNTR_B_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_r_9_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1300:1308:1626)(1300:1308:1626))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_EMPTY_NONREG_i1)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (468:468:585))
          (PORT ADR1 (556:556:695))
          (PORT ADR2 (309:309:386))
          (PORT ADR3 (314:314:393))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_thrmod_elogic_RAM_EMPTY_i)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O (1194:1493:1493)(1194:1493:1493))
          (IOPATH RST O ( 0 )( 0 ))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge SET) (posedge CLK) (706:883:883))
        (WIDTH (posedge SET) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE s_U2X_EMPTY_FFX_SETOR)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1016:1024:1271)(1016:1024:1271))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_8_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1318:1326:1649)(1318:1326:1649))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_9_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1846:1854:2308)(1846:1854:2308))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_o_WRX)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (1399:1399:1749))
          (PORT ADR1 (751:751:938))
          (PORT ADR2 (813:813:1016))
          (PORT ADR3 (12:12:15))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_0)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_1)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_3)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_4)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_5)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE FSM_GPIF_Mcount_v_setup_eqn_2)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (789:789:986))
          (PORT ADR1 (822:822:1028))
          (PORT ADR2 (12:12:15))
          (PORT ADR3 (563:563:703))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE FSM_GPIF_v_setup_2)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (posedge CE) (posedge CLK) (481:602:602)(0))
        (SETUPHOLD (negedge CE) (posedge CLK) (481:602:602)(0))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_INV")
    (INSTANCE FSM_GPIF_v_setup_2_FFX_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (4409:4417:5512)(4409:4417:5512))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_6)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_7)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1210:1514:1514))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_8_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1291:1299:1615)(1291:1299:1615))
        )
      )
  )
  (CELL (CELLTYPE "X_FF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_9)
      (DELAY
        (ABSOLUTE
          (IOPATH CLK O (576:720:720)(576:720:720))
          (IOPATH SET O ( 0 )( 0 ))
          (IOPATH RST O (1194:1493:1493)(1194:1493:1493))
        )
      )
      (TIMINGCHECK
        (SETUPHOLD (posedge I) (posedge CLK) (64:81:81)(304:381:381))
        (SETUPHOLD (negedge I) (posedge CLK) (64:81:81)(304:381:381))
        (PERIOD (posedge CLK) (1256:1570:1570))
        (RECOVERY (negedge RST) (posedge CLK) (706:883:883))
        (WIDTH (posedge RST) (690:863:863))
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_flblk_clkmod_cx_rdx_pntr_gc_x2_9_FFY_RSTAND)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1928:1936:2411)(1928:1936:2411))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (444:444:555))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (315:315:394))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (586:586:732))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (537:537:671))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (367:367:458))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (603:603:754))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (570:570:712))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (780:780:975))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (337:337:421))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (369:369:461))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (343:343:428))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (522:522:653))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (460:460:575))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (401:401:502))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (334:334:418))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (466:466:582))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (540:540:675))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (480:480:600))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (354:354:442))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (298:298:372))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (479:479:599))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (329:329:412))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (460:460:575))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (495:495:619))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (530:530:662))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (526:526:657))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (310:310:388))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (329:329:412))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (435:435:544))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (478:478:597))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (367:367:458))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (333:333:417))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (435:435:544))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (342:342:427))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (570:570:712))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_8_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (487:487:609))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (334:334:418))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (460:460:575))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (490:490:612))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (460:460:575))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (774:774:968))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (337:337:421))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (351:351:439))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_OUT_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_8_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (740:740:925))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (508:508:634))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (345:345:431))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (387:387:483))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (497:497:621))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (538:538:673))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (350:350:437))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (392:392:490))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_WR_PNTR_8_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (358:358:447))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (533:533:666))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (377:377:471))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (479:479:599))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (315:315:394))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (565:565:707))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (497:497:621))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (341:341:427))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus1_w_8_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (315:315:394))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (334:334:418))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (460:460:575))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (490:490:612))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (337:337:421))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (511:511:638))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (460:460:575))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (351:351:439))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_wr_pntr_plus2_w_8_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (497:497:621))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (317:317:397))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (319:319:399))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (548:548:686))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (492:492:615))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (564:564:705))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (337:337:421))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (599:599:749))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_wr_cntr_plus3_bld_wr_cntr_plus3_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_8_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (502:502:627))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (540:540:675))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (386:386:482))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (395:395:494))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (365:365:456))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (538:538:672))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (558:558:697))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_DEBUG_RD_PNTR_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (428:428:535))
          (IOPATH ADR0 O (476:596:596)(476:596:596))
          (IOPATH ADR1 O (476:596:596)(476:596:596))
          (IOPATH ADR2 O (476:596:596)(476:596:596))
          (IOPATH ADR3 O (476:596:596)(476:596:596))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (366:366:458))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (469:469:586))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (481:481:601))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (469:469:586))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (316:316:395))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (373:373:466))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_debug_rd_pntr_plus1_r_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (431:431:538))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_0_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (436:436:545))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (337:337:421))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_2_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (316:316:395))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR0 (470:470:588))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_4_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR2 (373:373:466))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_F_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR3 (297:297:372))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_LUT4")
    (INSTANCE F_IN_BU2_U0_gen_as_fgas_normgen_cntblk_gen_cntr_gen_rd_cntr_plus2_bld_rd_cntr_plus2_gen_bin_cnt_top_bin_cnt_top_gen_bsc_bin_cnt_bld_bin_cnt_count_6_G_X_LUT4)
      (DELAY
        (ABSOLUTE
          (PORT ADR1 (420:420:525))
          (IOPATH ADR0 O (431:539:539)(431:539:539))
          (IOPATH ADR1 O (431:539:539)(431:539:539))
          (IOPATH ADR2 O (431:539:539)(431:539:539))
          (IOPATH ADR3 O (431:539:539)(431:539:539))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_10_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1625:1804:2032))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_10_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2766:2896:3459))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_11_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2603:2782:3255))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_11_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2037:2167:2547))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_12_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1906:2085:2383))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_12_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3203:3333:4004))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_13_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1610:1789:2013))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_13_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3178:3308:3973))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_14_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1342:1521:1678))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_14_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3094:3224:3869))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_15_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1330:1509:1664))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_15_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2705:2835:3382))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE o_WRX_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1483:1616:1855))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE o_RDYX_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2282:2447:2854))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE o_LEDrx_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (5524:5657:6907))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE o_LEDtx_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (5103:5236:6380))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_0_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1610:1789:2013))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_0_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2824:2954:3531))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_1_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1342:1521:1679))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_1_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2395:2525:2994))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_2_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2015:2194:2520))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_2_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2933:3063:3666))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_3_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2466:2645:3084))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_3_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2944:3074:3681))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_4_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2689:2868:3362))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_4_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3158:3288:3949))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_5_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2523:2702:3155))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_5_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3128:3258:3910))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_6_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2695:2874:3369))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_6_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3322:3452:4154))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_7_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2821:3000:3528))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_7_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3334:3464:4169))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_8_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (2618:2797:3273))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_8_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1591:1721:1990))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_9_OUTPUT_TFF_TMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (1615:1794:2020))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE b_dbus_9_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (3037:3167:3797))
        )
      )
  )
  (CELL (CELLTYPE "X_BUF")
    (INSTANCE o_LEDrun_OUTPUT_OFF_OMUX)
      (DELAY
        (ABSOLUTE
          (IOPATH I O (5331:5464:6665))
        )
      )
  )
)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.