OpenCores
URL https://opencores.org/ocsvn/generic_booth_multipler/generic_booth_multipler/trunk

Subversion Repositories generic_booth_multipler

[/] [generic_booth_multipler/] [trunk/] [rtl/] [modules/] [00.FullAdder.vhd] - Rev 2

Compare with Previous | Blame | View Log

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
 
entity FullAdder is
	port(
		A	 :in	std_logic;
		B	 :in	std_logic;
		Cin :in	std_logic;
		Sum :out std_logic;
		Cout:out std_logic);
end FullAdder;
 
architecture Behavioral of FullAdder is
 
begin
	Cout 	<= (A AND B)OR(B AND Cin)OR(Cin AND A);
	Sum	<= A XOR B XOR Cin;
 
end Behavioral;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.