OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [top/] [sim_win.bat] - Rev 59

Go to most recent revision | Compare with Previous | Blame | View Log

title %CD%

SET LM_LICENSE_FILE=D:\Programs\license_win.dat
SET MODEL_TECH=D:\Programs\modeltech_6.5g\win32
SET PATH=D:\Programs\modeltech_6.5g\win32;D:\Programs\modeltech_6.5g\gcc-4.2.1-mingw32\bin

vsim -do sim_win.do

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.