OpenCores
URL https://opencores.org/ocsvn/ha1588/ha1588/trunk

Subversion Repositories ha1588

[/] [ha1588/] [trunk/] [sim/] [tsu/] [sim.bat] - Rev 60

Go to most recent revision | Compare with Previous | Blame | View Log

title %CD%

SET LM_LICENSE_FILE=C:\lmlicense\licensefile.dat
SET MODEL_TECH=C:\modeltech_6.5b\win32
SET PATH=C:\Modeltech_6.5b\win32;C:\modeltech_6.5b\gcc-4.2.1-mingw32\bin

vsim -do sim.do

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.