URL
https://opencores.org/ocsvn/hdl-deflate/hdl-deflate/trunk
Subversion Repositories hdl-deflate
[/] [hdl-deflate/] [trunk/] [dump.v] - Rev 4
Go to most recent revision | Compare with Previous | Blame | View Log
module init(); initial begin $dumpfile("test.vcd"); $dumpvars(0, test_fast_bench); end endmodule
Go to most recent revision | Compare with Previous | Blame | View Log