OpenCores
URL https://opencores.org/ocsvn/hf-risc/hf-risc/trunk

Subversion Repositories hf-risc

[/] [hf-risc/] [trunk/] [software/] [app/] [leds.c] - Rev 13

Compare with Previous | Blame | View Log

#include <hf-risc.h>
 
uint32_t extio_out = 0x01;
 
int main(void){
 
	for(;;){
		EXTIO_OUT = extio_out;
		extio_out <<= 1;
		if (extio_out == 0x10) extio_out = 0x01;
		delay_ms(500);
	}
 
	return 0;
}
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.