OpenCores
URL https://opencores.org/ocsvn/hive/hive/trunk

Subversion Repositories hive

[/] [hive/] [trunk/] [v01.10/] [core.vwf] - Rev 3

Compare with Previous | Blame | View Log

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/

/*
Copyright (C) 1991-2010 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/

HEADER
{
        VERSION = 1;
        TIME_UNIT = ns;
        DATA_OFFSET = 0.0;
        DATA_DURATION = 130000.0;
        SIMULATION_TIME = 0.0;
        GRID_PHASE = 0.0;
        GRID_PERIOD = 50.0;
        GRID_DUTY_CYCLE = 50;
}

SIGNAL("clk_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("intr_req_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 8;
        LSB_INDEX = 0;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("intr_req_i[7]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[6]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[5]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[4]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("intr_req_i[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "intr_req_i";
}

SIGNAL("io_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 32;
        LSB_INDEX = 0;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("io_i[31]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[30]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[29]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[28]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[27]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[26]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[25]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[24]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[23]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[22]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[21]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[20]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[19]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[18]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[17]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[16]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[15]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[14]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[13]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[12]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[11]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[10]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[9]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[8]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[7]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[6]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[5]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[4]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_i[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "io_i";
}

SIGNAL("io_o")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 32;
        LSB_INDEX = 0;
        DIRECTION = OUTPUT;
        PARENT = "";
}

SIGNAL("io_o[31]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[30]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[29]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[28]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[27]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[26]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[25]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[24]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[23]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[22]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[21]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[20]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[19]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[18]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[17]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[16]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[15]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[14]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[13]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[12]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[11]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[10]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[9]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[8]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[7]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[6]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[5]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[4]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("io_o[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = OUTPUT;
        PARENT = "io_o";
}

SIGNAL("rst_i")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 681")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 477")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 1788")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 1264")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("divider 1510")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|vector_sr:regs_in_2|stage[0][2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][45]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][46]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][47]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][48]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][49]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][31]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][30]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][29]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("divider 622")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = INPUT;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 16;
        LSB_INDEX = 0;
        DIRECTION = BURIED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][15]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][14]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][13]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][12]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][11]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][10]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][9]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][8]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][7]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][6]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][5]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][4]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("control_ring:control_ring|pc_ring:pc_ring|pc[0][0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
}

SIGNAL("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 4;
        LSB_INDEX = 0;
        DIRECTION = BURIED;
        PARENT = "";
}

SIGNAL("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3]";
}

SIGNAL("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3]";
}

SIGNAL("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3]";
}

SIGNAL("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 32;
        LSB_INDEX = 0;
        DIRECTION = BURIED;
        PARENT = "";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][31]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][30]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][29]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][28]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][27]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][26]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][25]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][24]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][23]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][22]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][21]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][20]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][19]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][18]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][17]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][16]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][15]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][14]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][13]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][12]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][11]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][10]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][9]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][8]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][7]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][6]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][5]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][4]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][3]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = BURIED;
        PARENT = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][32]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][33]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][34]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|thread_ring:thread_ring|thrd_0_o")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = BUS;
        WIDTH = 3;
        LSB_INDEX = 0;
        DIRECTION = REGISTERED;
        PARENT = "";
}

SIGNAL("control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[2]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "control_ring:control_ring|thread_ring:thread_ring|thrd_0_o";
}

SIGNAL("control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[1]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "control_ring:control_ring|thread_ring:thread_ring|thrd_0_o";
}

SIGNAL("control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[0]")
{
        VALUE_TYPE = NINE_LEVEL_BIT;
        SIGNAL_TYPE = SINGLE_BIT;
        WIDTH = 1;
        LSB_INDEX = -1;
        DIRECTION = REGISTERED;
        PARENT = "control_ring:control_ring|thread_ring:thread_ring|thrd_0_o";
}

GROUP("io_o_char")
{
        MEMBERS = "io_o[31]", "io_o[30]", "io_o[29]", "io_o[28]", "io_o[27]";
}

GROUP("io_o_mant")
{
        MEMBERS = "io_o[26]", "io_o[25]", "io_o[24]", "io_o[23]", "io_o[22]", "io_o[21]", "io_o[20]", "io_o[19]", "io_o[18]", "io_o[17]", "io_o[16]", "io_o[15]", "io_o[14]", "io_o[13]", "io_o[12]", "io_o[11]", "io_o[10]", "io_o[9]", "io_o[8]", "io_o[7]", "io_o[6]", "io_o[5]", "io_o[4]", "io_o[3]", "io_o[2]", "io_o[1]", "io_o[0]";
}

GROUP("test")
{
        MEMBERS = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][31]", "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][30]", "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][29]";
}

GROUP("im_addr")
{
        MEMBERS = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][49]", "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][48]", "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][47]", "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][46]", "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][45]";
}

TRANSITION_LIST("clk_i")
{
        NODE
        {
                REPEAT = 1;
                NODE
                {
                        REPEAT = 2600;
                        LEVEL 0 FOR 25.0;
                        LEVEL 1 FOR 25.0;
                }
        }
}

TRANSITION_LIST("intr_req_i[7]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 12800.0;
                LEVEL 1 FOR 49600.0;
                LEVEL 0 FOR 25600.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 40400.0;
        }
}

TRANSITION_LIST("intr_req_i[6]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 38400.0;
                LEVEL 1 FOR 24000.0;
                LEVEL 0 FOR 22400.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 43600.0;
        }
}

TRANSITION_LIST("intr_req_i[5]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 57600.0;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 19200.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 46800.0;
        }
}

TRANSITION_LIST("intr_req_i[4]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 51200.0;
                LEVEL 1 FOR 11200.0;
                LEVEL 0 FOR 16000.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 50000.0;
        }
}

TRANSITION_LIST("intr_req_i[3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 25600.0;
                LEVEL 1 FOR 36800.0;
                LEVEL 0 FOR 12800.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 53200.0;
        }
}

TRANSITION_LIST("intr_req_i[2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 32000.0;
                LEVEL 1 FOR 30400.0;
                LEVEL 0 FOR 9600.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 56400.0;
        }
}

TRANSITION_LIST("intr_req_i[1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 44800.0;
                LEVEL 1 FOR 17600.0;
                LEVEL 0 FOR 6400.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 59600.0;
        }
}

TRANSITION_LIST("intr_req_i[0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 19200.0;
                LEVEL 1 FOR 43200.0;
                LEVEL 0 FOR 3200.0;
                LEVEL 1 FOR 1600.0;
                LEVEL 0 FOR 62800.0;
        }
}

TRANSITION_LIST("io_i[31]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[30]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[29]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[28]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[27]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[26]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[25]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[24]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[23]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[22]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[21]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[20]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[19]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[18]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[17]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[16]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[15]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[14]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[13]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[12]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[11]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[10]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 5000.0;
                LEVEL 1 FOR 120200.0;
        }
}

TRANSITION_LIST("io_i[9]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[8]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[7]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[6]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[5]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 0 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[4]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 5000.0;
                LEVEL 1 FOR 120200.0;
        }
}

TRANSITION_LIST("io_i[3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 5000.0;
                LEVEL 1 FOR 120200.0;
        }
}

TRANSITION_LIST("io_i[1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 130000.0;
        }
}

TRANSITION_LIST("io_i[0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 4800.0;
                LEVEL 0 FOR 5000.0;
                LEVEL 1 FOR 120200.0;
        }
}

TRANSITION_LIST("io_o[31]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[30]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[29]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[28]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[27]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[26]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[25]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[24]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[23]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[22]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[21]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[20]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[19]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[18]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[17]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[16]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[15]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[14]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[13]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[12]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[11]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[10]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[9]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[8]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[7]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[6]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[5]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[4]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("io_o[0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL X FOR 130000.0;
        }
}

TRANSITION_LIST("rst_i")
{
        NODE
        {
                REPEAT = 1;
                LEVEL 1 FOR 50.0;
                LEVEL 0 FOR 129950.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|vector_sr:regs_in_2|stage[0][2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][45]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][46]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][47]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][48]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][49]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][31]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][30]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][29]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][15]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][14]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][13]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][12]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][11]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][10]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][9]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][8]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][7]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][6]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][5]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][4]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|pc_ring:pc_ring|pc[0][0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][31]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][30]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][29]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][28]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][27]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][26]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][25]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][24]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][23]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][22]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][21]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][20]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][19]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][18]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][17]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][16]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][15]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][14]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][13]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][12]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][11]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][10]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][9]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][8]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][7]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][6]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][5]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][4]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][3]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][32]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][33]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][34]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[2]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[1]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

TRANSITION_LIST("control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[0]")
{
        NODE
        {
                REPEAT = 1;
                LEVEL U FOR 130000.0;
        }
}

DISPLAY_LINE
{
        CHANNEL = "rst_i";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 0;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "clk_i";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 1;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "divider 681";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 2;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i";
        EXPAND_STATUS = EXPANDED;
        RADIX = Unsigned;
        TREE_INDEX = 3;
        TREE_LEVEL = 0;
        CHILDREN = 4, 5, 6, 7, 8, 9, 10, 11;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 4;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 5;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 6;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 7;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 8;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 9;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 10;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "intr_req_i[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 11;
        TREE_LEVEL = 1;
        PARENT = 3;
}

DISPLAY_LINE
{
        CHANNEL = "divider 477";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 12;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "io_i";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 13;
        TREE_LEVEL = 0;
        CHILDREN = 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[31]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 14;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[30]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 15;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[29]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 16;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[28]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 17;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[27]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 18;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[26]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 19;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[25]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 20;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[24]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 21;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[23]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 22;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[22]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 23;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[21]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 24;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[20]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 25;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[19]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 26;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[18]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 27;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[17]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 28;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[16]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 29;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[15]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 30;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[14]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 31;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[13]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 32;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[12]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 33;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[11]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 34;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[10]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 35;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[9]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 36;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[8]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 37;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 38;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 39;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 40;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 41;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 42;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 43;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 44;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_i[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 45;
        TREE_LEVEL = 1;
        PARENT = 13;
}

DISPLAY_LINE
{
        CHANNEL = "io_o_char";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 46;
        TREE_LEVEL = 0;
        CHILDREN = 47, 48, 49, 50, 51;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[31]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 47;
        TREE_LEVEL = 1;
        PARENT = 46;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[30]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 48;
        TREE_LEVEL = 1;
        PARENT = 46;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[29]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 49;
        TREE_LEVEL = 1;
        PARENT = 46;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[28]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 50;
        TREE_LEVEL = 1;
        PARENT = 46;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[27]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 51;
        TREE_LEVEL = 1;
        PARENT = 46;
}

DISPLAY_LINE
{
        CHANNEL = "io_o_mant";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 52;
        TREE_LEVEL = 0;
        CHILDREN = 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[26]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 53;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[25]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 54;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[24]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 55;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[23]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 56;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[22]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 57;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[21]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 58;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[20]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 59;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[19]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 60;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[18]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 61;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[17]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 62;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[16]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 63;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[15]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 64;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[14]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 65;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[13]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 66;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[12]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 67;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[11]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 68;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[10]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 69;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[9]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 70;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[8]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 71;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 72;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 73;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 74;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 75;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 76;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 77;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 78;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 79;
        TREE_LEVEL = 1;
        PARENT = 52;
}

DISPLAY_LINE
{
        CHANNEL = "io_o";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 80;
        TREE_LEVEL = 0;
        CHILDREN = 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[31]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 81;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[30]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 82;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[29]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 83;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[28]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 84;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[27]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 85;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[26]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 86;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[25]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 87;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[24]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 88;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[23]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 89;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[22]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 90;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[21]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 91;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[20]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 92;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[19]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 93;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[18]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 94;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[17]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 95;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[16]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 96;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[15]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 97;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[14]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 98;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[13]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 99;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[12]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 100;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[11]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 101;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[10]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 102;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[9]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 103;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[8]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 104;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 105;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 106;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 107;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 108;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 109;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 110;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 111;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "io_o[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 112;
        TREE_LEVEL = 1;
        PARENT = 80;
}

DISPLAY_LINE
{
        CHANNEL = "divider 1788";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 113;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "im_addr";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 114;
        TREE_LEVEL = 0;
        CHILDREN = 115, 116, 117, 118, 119;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][49]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 115;
        TREE_LEVEL = 1;
        PARENT = 114;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][48]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 116;
        TREE_LEVEL = 1;
        PARENT = 114;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][47]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 117;
        TREE_LEVEL = 1;
        PARENT = 114;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][46]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 118;
        TREE_LEVEL = 1;
        PARENT = 114;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][45]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 119;
        TREE_LEVEL = 1;
        PARENT = 114;
}

DISPLAY_LINE
{
        CHANNEL = "divider 622";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 120;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "test";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 121;
        TREE_LEVEL = 0;
        CHILDREN = 122, 123, 124;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][31]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 122;
        TREE_LEVEL = 1;
        PARENT = 121;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][30]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 123;
        TREE_LEVEL = 1;
        PARENT = 121;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][29]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 124;
        TREE_LEVEL = 1;
        PARENT = 121;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|thread_ring:thread_ring|thrd_0_o";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 125;
        TREE_LEVEL = 0;
        CHILDREN = 126, 127, 128;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 126;
        TREE_LEVEL = 1;
        PARENT = 125;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 127;
        TREE_LEVEL = 1;
        PARENT = 125;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|thread_ring:thread_ring|thrd_0_o[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 128;
        TREE_LEVEL = 1;
        PARENT = 125;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 129;
        TREE_LEVEL = 0;
        CHILDREN = 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][15]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 130;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][14]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 131;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][13]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 132;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][12]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 133;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][11]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 134;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][10]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 135;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][9]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 136;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][8]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 137;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 138;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 139;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 140;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 141;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 142;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 143;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 144;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|pc[0][0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 145;
        TREE_LEVEL = 1;
        PARENT = 129;
}

DISPLAY_LINE
{
        CHANNEL = "divider 1264";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 146;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][34]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 147;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][33]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 148;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|op_decode:op_decode|vector_sr:out_regs|stage[0][32]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 149;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "divider 1510";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 150;
        TREE_LEVEL = 0;
        IS_DIVIDER = ON;
}

DISPLAY_LINE
{
        CHANNEL = "control_ring:control_ring|pc_ring:pc_ring|vector_sr:regs_in_2|stage[0][2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Binary;
        TREE_INDEX = 151;
        TREE_LEVEL = 0;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 152;
        TREE_LEVEL = 0;
        CHILDREN = 153, 154, 155, 156;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 153;
        TREE_LEVEL = 1;
        PARENT = 152;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 154;
        TREE_LEVEL = 1;
        PARENT = 152;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 155;
        TREE_LEVEL = 1;
        PARENT = 152;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|pointer_ring:pointer_ring|vector_sr:wr_pipe|stage[3][0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Unsigned;
        TREE_INDEX = 156;
        TREE_LEVEL = 1;
        PARENT = 152;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 157;
        TREE_LEVEL = 0;
        CHILDREN = 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][31]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 158;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][30]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 159;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][29]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 160;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][28]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 161;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][27]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 162;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][26]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 163;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][25]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 164;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][24]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 165;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][23]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 166;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][22]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 167;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][21]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 168;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][20]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 169;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][19]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 170;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][18]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 171;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][17]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 172;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][16]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 173;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][15]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 174;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][14]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 175;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][13]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 176;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][12]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 177;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][11]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 178;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][10]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 179;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][9]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 180;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][8]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 181;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][7]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 182;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][6]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 183;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][5]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 184;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][4]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 185;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][3]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 186;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][2]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 187;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][1]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 188;
        TREE_LEVEL = 1;
        PARENT = 157;
}

DISPLAY_LINE
{
        CHANNEL = "data_ring:data_ring|alu_top:alu_top|alu_mux:alu_mux|vector_sr:d_out_regs|stage[0][0]";
        EXPAND_STATUS = COLLAPSED;
        RADIX = Hexadecimal;
        TREE_INDEX = 189;
        TREE_LEVEL = 1;
        PARENT = 157;
}

TIME_BAR
{
        TIME = 16850;
        MASTER = TRUE;
}
;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.