OpenCores
URL https://opencores.org/ocsvn/hpc-16/hpc-16/trunk

Subversion Repositories hpc-16

[/] [hpc-16/] [trunk/] [impl0/] [sim_junk/] [transcript] - Rev 18

Go to most recent revision | Compare with Previous | Blame | View Log

# Reading C:/Modeltech_xe_starter/tcl/vsim/pref.tcl 
#  OpenFile "D:/MyOpenCoresProjects/hpc-16/impl0/sim_junk/hpc.mpf" 
# Loading project hpc
# Compile of arith.vhd was successful.
# Compile of log.vhd was successful.
# Compile of alu.vhd was successful.
# Compile of shifter.vhd was successful.
# Compile of fcmp.vhd was successful.
# Compile of flags.vhd was successful.
# Compile of regfile.vhd was successful.
# Compile of dp_pkg.vhd was successful.
# Compile of dp.vhd was successful.
# Compile of sync.vhd was successful.
# Compile of con_pkg.vhd was successful.
# Compile of con1.vhd was successful.
# Compile of cpu_pkg.vhd was successful.
# Compile of cpu.vhd was successful.
# Compile of test.vhd was successful.
# Compile of ram8x16.vhd was successful.
# Compile of ramNx16.vhd was successful.
# 17 compiles, 0 failed with no errors. 
vsim -t 10ps work.test
# vsim -t 10ps work.test 
# Loading C:/Modeltech_xe_starter/win32xoem/../std.standard
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.std_logic_1164(body)
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.std_logic_arith(body)
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.std_logic_unsigned(body)
# Loading C:/Modeltech_xe_starter/win32xoem/../std.textio(body)
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.std_logic_textio(body)
# Loading work.cpu_pkg
# Loading work.test(sim)
# Loading work.ramnx16(async)
# Loading work.con_pkg
# Loading work.dp_pkg
# Loading work.cpu(struct)
# Loading work.con1(rtl)
# Loading work.sync(behave2)
# Loading work.dp(rtl)
# Loading work.regfile(behavioral)
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.numeric_std(body)
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.vital_timing(body)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.vcomponents
# Loading work.alu(struct)
# Loading work.arith(behavioral)
# Loading C:/Modeltech_xe_starter/win32xoem/../ieee.vital_primitives(body)
# Loading work.adsu16_mxilinx_arith(behavioral)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.fmap(fmap_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.xor3(xor3_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.muxcy_l(muxcy_l_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.muxcy(muxcy_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.xorcy(xorcy_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.muxcy_d(muxcy_d_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.xor2(xor2_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.inv(inv_v)
# Loading work.m2_1_mxilinx_arith(behavioral)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.and2b1(and2b1_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.or2(or2_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.and2(and2_v)
# Loading C:/Modeltech_xe_starter/win32xoem/../xilinx/vhdl/unisim.gnd(gnd_v)
# Loading work.log(dataflow)
# Loading work.shifter(dataflow)
# Loading work.flags(behavioral)
# Loading work.fcmp(behavioral)
# Loading work.ram8x16(sim)
# WARNING: Design size of 1881 statements or 10 non-Xilinx leaf instances exceeds ModelSim XE-Starter recommended capacity.
# Expect performance to be quite adversely affected.
view wave
# .wave
do D:/MyOpenCoresProjects/hpc-16/impl0/sim_junk/complete_wave_no_ramcs.do
# ERROR: No objects found matching "/test/ram/line__57/ram_data_upper"
# Executing ONERROR command at macro D:\MyOpenCoresProjects\hpc-16\impl0\sim_junk\complete_wave_no_ramcs.do line 39
# ERROR: No objects found matching "/test/ram/line__57/ram_data_lower"
# Executing ONERROR command at macro D:\MyOpenCoresProjects\hpc-16\impl0\sim_junk\complete_wave_no_ramcs.do line 40
view variables
# .variables
view process
# .process
destroy .variables
destroy .process
do D:/MyOpenCoresProjects/hpc-16/impl0/sim_junk/complete_wave_no_ramcs.do
run -all
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Error: (vsim-7) Failed to open VHDL file "add2_init_ram.txt" in rb mode.
# No such file or directory. (errno = ENOENT)
#    Time: 0 ps  Iteration: 0  Instance: /test/ram
# ** Fatal: (vsim-7) Failed to open VHDL file "add2_init_ram.txt" in rb mode.
# No such file or directory. (errno = ENOENT)
#    Time: 0 ps  Iteration: 0  Process: /test/ram/init File: D:/MyOpenCoresProjects/hpc-16/impl0/sim/testbench/ramNx16.vhd
# Fatal error at D:/MyOpenCoresProjects/hpc-16/impl0/sim/testbench/ramNx16.vhd line 101
# 
run -all
# Cannot continue because of fatal error.
restart
# WARNING: Design size of 1881 statements or 10 non-Xilinx leaf instances exceeds ModelSim XE-Starter recommended capacity.
# Expect performance to be quite adversely affected.
run -all
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 40 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 40 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 80 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 80 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 120 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 120 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 160 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 160 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 200 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 200 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 240 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 240 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 280 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 280 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 320 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 320 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Error: simulation completed (not an error)
#    Time: 3 us  Iteration: 0  Instance: /test
restart
# WARNING: Design size of 1881 statements or 10 non-Xilinx leaf instances exceeds ModelSim XE-Starter recommended capacity.
# Expect performance to be quite adversely affected.
run -all
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /test/cpu/datapath/u1
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 40 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 40 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 80 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 80 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 120 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 120 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 160 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 160 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 200 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 200 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 240 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 240 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 280 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 280 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 320 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 320 ns  Iteration: 1  Instance: /test/cpu/datapath
# ** Error: simulation completed (not an error)
#    Time: 3 us  Iteration: 0  Instance: /test
destroy .wave
quit -sim

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.