OpenCores
URL https://opencores.org/ocsvn/igor/igor/trunk

Subversion Repositories igor

[/] [igor/] [trunk/] [processor/] [pl/] [lol.vhd] - Rev 4

Compare with Previous | Blame | View Log

entity mux is
        port (
                a : in std_logic_vector(5 downto 0); 
                b : in std_logic_vector(5 downto 0);
                s : in std_logic;
                o : out std_logic_vector(5 downto 0);
            );
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.