OpenCores
URL https://opencores.org/ocsvn/iqcorrection/iqcorrection/trunk

Subversion Repositories iqcorrection

[/] [iqcorrection/] [trunk/] [IQGainPhaseCorrection_Configuration.vhd] - Rev 33

Compare with Previous | Blame | View Log

--Configuration allows you to select
--the correct architecture to use.
 
 
 
 
configuration IntegerConfiguration of IQGainPhaseCorrection_entity is
	for IQGainPhaseCorrection_arch_integer --architecture name
		for DUT:IQGainPhaseCorrection	   --for instance_label:component_name
			--use entity library_name.entity_name(arch_name);
			use entity IQCorrection.IQGainPhaseCorrection_entity(IQGainPhaseCorrection_arch_integer); 
		end for;
	end for;
end configuration IntegerConfiguration;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.