OpenCores
URL https://opencores.org/ocsvn/kiss-board/kiss-board/trunk

Subversion Repositories kiss-board

[/] [kiss-board/] [tags/] [initial/] [kiss-board_soc/] [sw/] [boot_flash/] [vram.h] - Rev 11

Compare with Previous | Blame | View Log

 
#ifndef __VRAM_H
#define __VRAM_H
 
#include "image.h"
#include "dma.h"
 
//#define VRAM_BASE	0x02000000
#define VRAM_BASE	0x03000000
 
#define VRAM_SIZE	0x00100000
#define VRAM_VALUE	0xffffffff
 
struct vram {
	void *base;
	unsigned long int size;
	unsigned long int value;
	DMA *dma;
	unsigned long int dma_ch;
} typedef VRAM;
 
// public
void vram_init(VRAM *vram,DMA *dma,unsigned long int dma_ch)				__attribute__ ((section(".text")));
void vram_clear(VRAM *vram)								__attribute__ ((section(".icm")));
void vram_image_paste(VRAM *vram,IMAGE *img,unsigned long int x,unsigned long int y);		//__attribute__ ((section(".icm")));
void vram_image_paste_filter(VRAM *vram,IMAGE *img,unsigned long int x,unsigned long int y);	//__attribute__ ((section(".icm")));
void vram_image_clear(VRAM *vram,IMAGE *img,unsigned long int x,unsigned long int y);		//__attribute__ ((section(".icm")));
 
// priave
 
#endif
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.