OpenCores
URL https://opencores.org/ocsvn/lcd1/lcd1/trunk

Subversion Repositories lcd1

[/] [lcd1/] [tags/] [ver/] [src/] [components.vhd] - Rev 3

Go to most recent revision | Compare with Previous | Blame | View Log

library ieee;
use ieee.std_logic_1164.all;
 
package components is
  component generic_freq_div is
    port( clk_in : in std_logic; clk : out std_logic);
  end component;
 
  component lcd1 is
    port( clk_400, clk, rst : in std_logic; 
          lcd_data : out std_logic_vector (7 downto 0);
          lcd_ena, lcd_rw, lcd_rs : out std_logic;
          led : out std_logic_vector (0 downto 0) );
  end component;
 
end components;
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.