URL
https://opencores.org/ocsvn/leros/leros/trunk
Subversion Repositories leros
[/] [leros/] [trunk/] [Makefile] - Rev 7
Go to most recent revision | Compare with Previous | Blame | View Log
## Makefile for Leros build## cleanupEXTENSIONS=class rbf rpt sof pin summary ttf qdf dat wlf done qws## Set USB to true for an FTDI chip based board (dspio, usbmin, lego)#USB=true# Assembler filesAPP=testAPP=muvium# Java applicationJAPP=BlinkJAPP_PKG=.# Target Java compiler# muvium has issues with the current Oracle javac,# so we use jikesJAVAC_TARG=jikes/jikes# JAVAC_TARG=javac# Altera FPGA configuration cable#BLASTER_TYPE=ByteBlasterMVBLASTER_TYPE=USB-Blasterifeq ($(WINDIR),)USBRUNNER=./USBRunnerS=:elseUSBRUNNER=USBRunner.exeS=\;endif# The VHDL project for QuartusQPROJ=altde2-70QPROJ=dspio# Some shortcutsMUVIUM=LerosMuviumSDKMUVIUM_CP=./$(S)./lib/Muvium-Leros.jar$(S)./MUVIUM_CP=./$(S)./lib/jdom.jar$(S)./lib/jaxen.jar$(S).TARGET_SRC=java/target/srcall: directories tools rommake lerosusbmake configdirectories:-mkdir rbftools:-rm -rf rbf-rm -rf java/tools/classes-rm -rf java/tools/lib-rm -rf java/tools/src/leros/asm/generatedmkdir rbfmkdir java/tools/classesmkdir java/tools/libmkdir java/tools/src/leros/asm/generatedjava -classpath lib/antlr-3.3-complete.jar org.antlr.Tool \-fo java/tools/src/leros/asm/generated \java/tools/src/grammar/Leros.gjavac -classpath lib/antlr-3.3-complete.jar \-d java/tools/classes java/tools/src/leros/asm/generated/*.java \java/tools/src/leros/asm/*.javajavac -d java/tools/classes -sourcepath \java/tools/src java/tools/src/leros/sim/*.javacd java/tools/classes && jar cf ../lib/leros-tools.jar *java_app:-rm -rf java/target/classesmkdir java/target/classes$(JAVAC_TARG) -target 1.5 -g -d java/target/classes \-sourcepath $(TARGET_SRC) $(TARGET_SRC)/$(JAPP).javacd $(MUVIUM); java -cp $(MUVIUM_CP)$(S)../java/target/classes \MuviumMetal $(JAPP) config.xml ../asm/muvium.asmjapp:make java_appmake rom -e APP=muviummake lerosusbmake configrom:-rm -rf vhdl/generatedmkdir vhdl/generatedjava -cp java/tools/lib/leros-tools.jar$(S)lib/antlr-3.3-complete.jar \leros.asm.LerosAsm -s asm -d vhdl/generated $(APP).asmjsim: romjava -cp java/lib/leros-tools.jar -Dlog=false \leros.sim.LerosSim rom.txtsim: romcd modelsim; make# configure the FPGAconfig:ifeq ($(USB),true)make config_usbelseifeq ($(XFPGA),true)make config_xilinxelsemake config_byteblasterendifendiflerosusb:@echo $(QPROJ)for target in $(QPROJ); do \make qsyn -e QBT=$$target || exit; \cd quartus/$$target && quartus_cpf -c leros.sof ../../rbf/$$target.rbf; \done## Quartus build process# called by jopser, jopusb,...#qsyn:echo $(QBT)echo "building $(QBT)"-rm -rf quartus/$(QBT)/db-rm -f quartus/$(QBT)/leros.sof-rm -f jbc/$(QBT).jbc-rm -f rbf/$(QBT).rbfquartus_map quartus/$(QBT)/lerosquartus_fit quartus/$(QBT)/lerosquartus_asm quartus/$(QBT)/lerosquartus_sta quartus/$(QBT)/lerosconfig_byteblaster:cd quartus/$(QPROJ) && quartus_pgm -c $(BLASTER_TYPE) -m JTAG leros.cdfconfig_usb:cd rbf && ../$(USBRUNNER) $(QPROJ).rbf# TODO: no Xilinx Makefiles available yetconfig_xilinx:cd xilinx/$(XPROJ) && make configclean:for ext in $(EXTENSIONS); do \find `ls` -name \*.$$ext -print -exec rm -r -f {} \; ; \done-find `ls` -name leros.pof -print -exec rm -r -f {} \;-find `ls` -name db -print -exec rm -r -f {} \;-find `ls` -name incremental_db -print -exec rm -r -f {} \;-find `ls` -name leros_description.txt -print -exec rm -r -f {} \;-rm -rf asm/generated-rm -f vhdl/*.vhd-rm -rf $(TOOLS)/dist-rm -rf $(PCTOOLS)/dist-rm -rf $(TARGET)/dist-rm -rf modelsim/work-rm -rf modelsim/transcript-rm -rf modelsim/gaisler-rm -rf modelsim/grlib-rm -rf modelsim/techmap
Go to most recent revision | Compare with Previous | Blame | View Log
