OpenCores
URL https://opencores.org/ocsvn/logicprobe/logicprobe/trunk

Subversion Repositories logicprobe

[/] [logicprobe/] [trunk/] [tst/] [sim-c/] [Makefile] - Rev 5

Compare with Previous | Blame | View Log

#
# Makefile to build a simulation of the test circuit
#

all:            ref

ref:            lfsr128
                ./lfsr128 >ref

lfsr128:        lfsr128.c
                gcc -Wall -o lfsr128 lfsr128.c

clean:
                rm -f *~ lfsr128 ref

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.