OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [lpffir_uvm/] [data_output.tpl] - Rev 11

Compare with Previous | Blame | View Log

agent_name = data_output

number_of_instances = 1

trans_item = output_tx
trans_var  = rand logic [15:0] data;

agent_coverage_enable = no

driver_inc_inside_class = data_output_driver_inc_inside_class.sv  inline
driver_inc_after_class  = data_output_driver_inc_after_class.sv   inline
monitor_inc = data_output_do_mon.sv inline

if_port  = logic last;
if_port  = logic valid;
if_port  = logic ready;
if_port  = logic [15:0] data;
if_port  = logic clk;
if_port  = logic reset;
if_clock = clk
if_reset = reset

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.