OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [minimal_split_txor/] [include/] [bus_driver_inc_after_class.sv] - Rev 4

Compare with Previous | Blame | View Log

task bus_driver::run_phase(uvm_phase phase);
  forever
  begin
    bus_tx_s req_s;
    seq_item_port.get_next_item(req);

    // Copy fields to packed struct
    req_s.cmd  = req.cmd;
    req_s.addr = req.addr;
    req_s.data = req.data;
    
    // Call HDL-side transactor
    vif.drive(req_s);

    seq_item_port.item_done();
  end
endtask : run_phase 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.