OpenCores
URL https://opencores.org/ocsvn/lpffir/lpffir/trunk

Subversion Repositories lpffir

[/] [lpffir/] [trunk/] [uvm/] [tools/] [easier_uvm_gen/] [examples/] [multi_if/] [include/] [my_serial_seq.sv] - Rev 4

Compare with Previous | Blame | View Log


`ifndef MY_SERIAL_SEQ_SV
`define MY_SERIAL_SEQ_SV


class my_serial_seq extends serial_default_seq;

  `uvm_object_utils(my_serial_seq)

  rand byte data;

  function new(string name = "");
    super.new(name);
  endfunction : new

  task body();
    `uvm_info(get_type_name(), "my_serial_seq sequence starting", UVM_HIGH)

    req = serial_tx::type_id::create("req");
    start_item(req); 
    if ( !req.randomize() with { dir == serial_tx::IN; })
      `uvm_warning(get_type_name(), "randomization failed!")
    finish_item(req); 

    `uvm_info(get_type_name(), "my_serial_seq sequence completed", UVM_HIGH)
  endtask : body

endclass : my_serial_seq


`endif // SERIAL_SEQ_LIB_SV

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.