OpenCores
URL https://opencores.org/ocsvn/lzrw1-compressor-core/lzrw1-compressor-core/trunk

Subversion Repositories lzrw1-compressor-core

[/] [lzrw1-compressor-core/] [trunk/] [hw/] [xst_14_2/] [CompressionTop.wcfg] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="/home/lukas/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/CompressorTop_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="compressortop_tb" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_signed" />
            <top_module name="std_logic_textio" />
            <top_module name="textio" />
            <top_module name="vcomponents" />
            <top_module name="vital_primitives" />
            <top_module name="vital_timing" />
            <top_module name="vpkg" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="230" />
   <wvobject fp_name="/compressortop_tb/DUT/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/slcycxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">slcycxsi</obj_property>
      <obj_property name="ObjectShortName">slcycxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/slstbxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">slstbxsi</obj_property>
      <obj_property name="ObjectShortName">slstbxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/slwexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">slwexsi</obj_property>
      <obj_property name="ObjectShortName">slwexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/sladrxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">sladrxdi[4:2]</obj_property>
      <obj_property name="ObjectShortName">sladrxdi[4:2]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/sldatxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">sldatxdi[31:0]</obj_property>
      <obj_property name="ObjectShortName">sldatxdi[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/sldatxdo" type="array" db_ref_id="1">
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="ElementShortName">sldatxdo[31:0]</obj_property>
      <obj_property name="ObjectShortName">sldatxdo[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
      <obj_property name="label">sldatxdo[31:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/slackxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">slackxso</obj_property>
      <obj_property name="ObjectShortName">slackxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/slerrxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">slerrxso</obj_property>
      <obj_property name="ObjectShortName">slerrxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/intxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">intxso</obj_property>
      <obj_property name="ObjectShortName">intxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/macycxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">macycxso</obj_property>
      <obj_property name="ObjectShortName">macycxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/mastbxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">mastbxso</obj_property>
      <obj_property name="ObjectShortName">mastbxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/mawexso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">mawexso</obj_property>
      <obj_property name="ObjectShortName">mawexso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/maselxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">maselxdo[3:0]</obj_property>
      <obj_property name="ObjectShortName">maselxdo[3:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/maadrxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">maadrxdo[31:0]</obj_property>
      <obj_property name="ObjectShortName">maadrxdo[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/madatxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">madatxdo[31:0]</obj_property>
      <obj_property name="ObjectShortName">madatxdo[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/madatxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">madatxdi[31:0]</obj_property>
      <obj_property name="ObjectShortName">madatxdi[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/maackxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">maackxsi</obj_property>
      <obj_property name="ObjectShortName">maackxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/maerrxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">maerrxsi</obj_property>
      <obj_property name="ObjectShortName">maerrxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/rstcorexsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstcorexsn</obj_property>
      <obj_property name="ObjectShortName">rstcorexsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/rstcorexsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstcorexsp</obj_property>
      <obj_property name="ObjectShortName">rstcorexsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/weinfifoxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">weinfifoxs</obj_property>
      <obj_property name="ObjectShortName">weinfifoxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifolenxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">infifolenxd</obj_property>
      <obj_property name="ObjectShortName">infifolenxd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/corebusyxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">corebusyxs</obj_property>
      <obj_property name="ObjectShortName">corebusyxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/coredonexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">coredonexs</obj_property>
      <obj_property name="ObjectShortName">coredonexs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/coredatinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">coredatinxd[7:0]</obj_property>
      <obj_property name="ObjectShortName">coredatinxd[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/corestbxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">corestbxs</obj_property>
      <obj_property name="ObjectShortName">corestbxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/fifobusyxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">fifobusyxs</obj_property>
      <obj_property name="ObjectShortName">fifobusyxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/flushxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">flushxsn</obj_property>
      <obj_property name="ObjectShortName">flushxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/flushxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">flushxsp</obj_property>
      <obj_property name="ObjectShortName">flushxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/flushcorexsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">flushcorexsn</obj_property>
      <obj_property name="ObjectShortName">flushcorexsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/flushcorexsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">flushcorexsp</obj_property>
      <obj_property name="ObjectShortName">flushcorexsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/corerdstbxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">corerdstbxs</obj_property>
      <obj_property name="ObjectShortName">corerdstbxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifolenxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">outfifolenxd</obj_property>
      <obj_property name="ObjectShortName">outfifolenxd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/coredatoutxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">coredatoutxd[7:0]</obj_property>
      <obj_property name="ObjectShortName">coredatoutxd[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/coreoutvalidxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">coreoutvalidxs</obj_property>
      <obj_property name="ObjectShortName">coreoutvalidxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/clearintflagsxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clearintflagsxsn</obj_property>
      <obj_property name="ObjectShortName">clearintflagsxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/clearintflagsxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clearintflagsxsp</obj_property>
      <obj_property name="ObjectShortName">clearintflagsxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifoemptyflgxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">infifoemptyflgxsn</obj_property>
      <obj_property name="ObjectShortName">infifoemptyflgxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifoemptyflgxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">infifoemptyflgxsp</obj_property>
      <obj_property name="ObjectShortName">infifoemptyflgxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifofullflgxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">infifofullflgxsn</obj_property>
      <obj_property name="ObjectShortName">infifofullflgxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifofullflgxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">infifofullflgxsp</obj_property>
      <obj_property name="ObjectShortName">infifofullflgxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifoemptyflgxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outfifoemptyflgxsn</obj_property>
      <obj_property name="ObjectShortName">outfifoemptyflgxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifoemptyflgxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outfifoemptyflgxsp</obj_property>
      <obj_property name="ObjectShortName">outfifoemptyflgxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifofullflgxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outfifofullflgxsn</obj_property>
      <obj_property name="ObjectShortName">outfifofullflgxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifofullflgxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outfifofullflgxsp</obj_property>
      <obj_property name="ObjectShortName">outfifofullflgxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/ieinfifoemptyxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ieinfifoemptyxsn</obj_property>
      <obj_property name="ObjectShortName">ieinfifoemptyxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/ieinfifoemptyxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ieinfifoemptyxsp</obj_property>
      <obj_property name="ObjectShortName">ieinfifoemptyxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/ieinfifofullxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ieinfifofullxsn</obj_property>
      <obj_property name="ObjectShortName">ieinfifofullxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/ieinfifofullxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ieinfifofullxsp</obj_property>
      <obj_property name="ObjectShortName">ieinfifofullxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/ieoutfifoemptyxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ieoutfifoemptyxsn</obj_property>
      <obj_property name="ObjectShortName">ieoutfifoemptyxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/ieoutfifoemptyxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ieoutfifoemptyxsp</obj_property>
      <obj_property name="ObjectShortName">ieoutfifoemptyxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/ieoutfifofullxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ieoutfifofullxsn</obj_property>
      <obj_property name="ObjectShortName">ieoutfifofullxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/ieoutfifofullxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ieoutfifofullxsp</obj_property>
      <obj_property name="ObjectShortName">ieoutfifofullxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/iedmaerrxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">iedmaerrxsn</obj_property>
      <obj_property name="ObjectShortName">iedmaerrxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/iedmaerrxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">iedmaerrxsp</obj_property>
      <obj_property name="ObjectShortName">iedmaerrxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/iecoredonexsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">iecoredonexsn</obj_property>
      <obj_property name="ObjectShortName">iecoredonexsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/iecoredonexsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">iecoredonexsp</obj_property>
      <obj_property name="ObjectShortName">iecoredonexsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/irqxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">irqxsn</obj_property>
      <obj_property name="ObjectShortName">irqxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/irqxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">irqxsp</obj_property>
      <obj_property name="ObjectShortName">irqxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifoemptythrxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">infifoemptythrxdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">infifoemptythrxdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifoemptythrxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">infifoemptythrxdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">infifoemptythrxdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifofullthrxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">infifofullthrxdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">infifofullthrxdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/infifofullthrxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">infifofullthrxdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">infifofullthrxdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifoemptythrxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">outfifoemptythrxdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">outfifoemptythrxdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifoemptythrxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">outfifoemptythrxdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">outfifoemptythrxdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifofullthrxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">outfifofullthrxdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">outfifofullthrxdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/outfifofullthrxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">outfifofullthrxdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">outfifofullthrxdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/incdestadrflgxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">incdestadrflgxsn</obj_property>
      <obj_property name="ObjectShortName">incdestadrflgxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/incdestadrflgxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">incdestadrflgxsp</obj_property>
      <obj_property name="ObjectShortName">incdestadrflgxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmaerrflgxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">dmaerrflgxsn</obj_property>
      <obj_property name="ObjectShortName">dmaerrflgxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmaerrflgxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">dmaerrflgxsp</obj_property>
      <obj_property name="ObjectShortName">dmaerrflgxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/wrdmadestadrxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wrdmadestadrxs</obj_property>
      <obj_property name="ObjectShortName">wrdmadestadrxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/wrdmalenxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wrdmalenxs</obj_property>
      <obj_property name="ObjectShortName">wrdmalenxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmabusyxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">dmabusyxsn</obj_property>
      <obj_property name="ObjectShortName">dmabusyxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmabusyxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">dmabusyxsp</obj_property>
      <obj_property name="ObjectShortName">dmabusyxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmadestadrxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dmadestadrxdn[31:0]</obj_property>
      <obj_property name="ObjectShortName">dmadestadrxdn[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmadestadrxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dmadestadrxdp[31:0]</obj_property>
      <obj_property name="ObjectShortName">dmadestadrxdp[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/xferbytecntxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">xferbytecntxdn</obj_property>
      <obj_property name="ObjectShortName">xferbytecntxdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/xferbytecntxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">xferbytecntxdp</obj_property>
      <obj_property name="ObjectShortName">xferbytecntxdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmalenxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">dmalenxdn</obj_property>
      <obj_property name="ObjectShortName">dmalenxdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmalenxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">dmalenxdp</obj_property>
      <obj_property name="ObjectShortName">dmalenxdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmadataoutxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dmadataoutxdn[31:0]</obj_property>
      <obj_property name="ObjectShortName">dmadataoutxdn[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmadataoutxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dmadataoutxdp[31:0]</obj_property>
      <obj_property name="ObjectShortName">dmadataoutxdp[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmaselxsn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dmaselxsn[3:0]</obj_property>
      <obj_property name="ObjectShortName">dmaselxsn[3:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dmaselxsp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dmaselxsp[3:0]</obj_property>
      <obj_property name="ObjectShortName">dmaselxsp[3:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/macycxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">macycxsn</obj_property>
      <obj_property name="ObjectShortName">macycxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/macycxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">macycxsp</obj_property>
      <obj_property name="ObjectShortName">macycxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/mastbxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">mastbxsn</obj_property>
      <obj_property name="ObjectShortName">mastbxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/mastbxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">mastbxsp</obj_property>
      <obj_property name="ObjectShortName">mastbxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/input_fifo_size" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">input_fifo_size</obj_property>
      <obj_property name="ObjectShortName">input_fifo_size</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/dma_len_size" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">dma_len_size</obj_property>
      <obj_property name="ObjectShortName">dma_len_size</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="divider269" type="divider">
      <obj_property name="label">core</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="BkColor">128 128 255</obj_property>
      <obj_property name="TextColor">230 230 230</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/datainxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">datainxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">datainxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/strobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobexsi</obj_property>
      <obj_property name="ObjectShortName">strobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/flushbufxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">flushbufxsi</obj_property>
      <obj_property name="ObjectShortName">flushbufxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/busyxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">busyxso</obj_property>
      <obj_property name="ObjectShortName">busyxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/donexso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">donexso</obj_property>
      <obj_property name="ObjectShortName">donexso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/bufoutxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputvalidxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxso</obj_property>
      <obj_property name="ObjectShortName">outputvalidxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/rdstrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rdstrobexsi</obj_property>
      <obj_property name="ObjectShortName">rdstrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lengthxdo" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdo</obj_property>
      <obj_property name="ObjectShortName">lengthxdo</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbufxdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbufxdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbufxdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbufxdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadlenxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlenxdn</obj_property>
      <obj_property name="ObjectShortName">lookaheadlenxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadlenxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlenxdp</obj_property>
      <obj_property name="ObjectShortName">lookaheadlenxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/shiftlookaheadxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">shiftlookaheadxsn</obj_property>
      <obj_property name="ObjectShortName">shiftlookaheadxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/shiftlookaheadxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">shiftlookaheadxsp</obj_property>
      <obj_property name="ObjectShortName">shiftlookaheadxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/strobe0xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe0xsn</obj_property>
      <obj_property name="ObjectShortName">strobe0xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/strobe0xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe0xsp</obj_property>
      <obj_property name="ObjectShortName">strobe0xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/histbuflen0xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen0xdn</obj_property>
      <obj_property name="ObjectShortName">histbuflen0xdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/histbuflen0xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen0xdp</obj_property>
      <obj_property name="ObjectShortName">histbuflen0xdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/histbufoutxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">histbufoutxd[127:0]</obj_property>
      <obj_property name="ObjectShortName">histbufoutxd[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/endofdata0xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata0xsn</obj_property>
      <obj_property name="ObjectShortName">endofdata0xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/endofdata0xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata0xsp</obj_property>
      <obj_property name="ObjectShortName">endofdata0xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/datain0xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">datain0xdn[7:0]</obj_property>
      <obj_property name="ObjectShortName">datain0xdn[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/datain0xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">datain0xdp[7:0]</obj_property>
      <obj_property name="ObjectShortName">datain0xdp[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/wrhistbufxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wrhistbufxs</obj_property>
      <obj_property name="ObjectShortName">wrhistbufxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadptr0xd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr0xd[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr0xd[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/nextwradrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">nextwradrxd[11:0]</obj_property>
      <obj_property name="ObjectShortName">nextwradrxd[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/busyxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">busyxsn</obj_property>
      <obj_property name="ObjectShortName">busyxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/busyxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">busyxsp</obj_property>
      <obj_property name="ObjectShortName">busyxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/statexsn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsn</obj_property>
      <obj_property name="ObjectShortName">statexsn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/statexsp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsp</obj_property>
      <obj_property name="ObjectShortName">statexsp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/hashtableentryxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">hashtableentryxd[11:0]</obj_property>
      <obj_property name="ObjectShortName">hashtableentryxd[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadlen1xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlen1xdn</obj_property>
      <obj_property name="ObjectShortName">lookaheadlen1xdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadlen1xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlen1xdp</obj_property>
      <obj_property name="ObjectShortName">lookaheadlen1xdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/strobe1xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe1xsn</obj_property>
      <obj_property name="ObjectShortName">strobe1xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/strobe1xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe1xsp</obj_property>
      <obj_property name="ObjectShortName">strobe1xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/histbuflen1xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen1xdn</obj_property>
      <obj_property name="ObjectShortName">histbuflen1xdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/histbuflen1xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen1xdp</obj_property>
      <obj_property name="ObjectShortName">histbuflen1xdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/endofdata1xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata1xsn</obj_property>
      <obj_property name="ObjectShortName">endofdata1xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/endofdata1xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata1xsp</obj_property>
      <obj_property name="ObjectShortName">endofdata1xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadbuf1xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbuf1xdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbuf1xdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadbuf1xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbuf1xdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbuf1xdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/wradr1xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">wradr1xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">wradr1xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/wradr1xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">wradr1xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">wradr1xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadptr1xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr1xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr1xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadptr1xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr1xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr1xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/candaddr1xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candaddr1xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">candaddr1xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/candaddr1xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candaddr1xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">candaddr1xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadlen2xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlen2xdn</obj_property>
      <obj_property name="ObjectShortName">lookaheadlen2xdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadlen2xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlen2xdp</obj_property>
      <obj_property name="ObjectShortName">lookaheadlen2xdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadbuf2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbuf2xdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbuf2xdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadbuf2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbuf2xdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbuf2xdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/strobe2xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe2xsn</obj_property>
      <obj_property name="ObjectShortName">strobe2xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/strobe2xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe2xsp</obj_property>
      <obj_property name="ObjectShortName">strobe2xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/histbuflen2xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen2xdn</obj_property>
      <obj_property name="ObjectShortName">histbuflen2xdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/histbuflen2xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen2xdp</obj_property>
      <obj_property name="ObjectShortName">histbuflen2xdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/candidate2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candidate2xdn[127:0]</obj_property>
      <obj_property name="ObjectShortName">candidate2xdn[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/candidate2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candidate2xdp[127:0]</obj_property>
      <obj_property name="ObjectShortName">candidate2xdp[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/nextwradr2xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">nextwradr2xdn</obj_property>
      <obj_property name="ObjectShortName">nextwradr2xdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/nextwradr2xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">nextwradr2xdp</obj_property>
      <obj_property name="ObjectShortName">nextwradr2xdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/candaddr2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candaddr2xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">candaddr2xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/candaddr2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candaddr2xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">candaddr2xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/candlen2xd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">candlen2xd</obj_property>
      <obj_property name="ObjectShortName">candlen2xd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/endofdata2xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata2xsn</obj_property>
      <obj_property name="ObjectShortName">endofdata2xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/endofdata2xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata2xsp</obj_property>
      <obj_property name="ObjectShortName">endofdata2xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/offsetintxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">offsetintxd</obj_property>
      <obj_property name="ObjectShortName">offsetintxd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/offsetxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">offsetxd[11:0]</obj_property>
      <obj_property name="ObjectShortName">offsetxd[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/hashtableentry2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">hashtableentry2xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">hashtableentry2xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/hashtableentry2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">hashtableentry2xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">hashtableentry2xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/maxcandlenxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">maxcandlenxd</obj_property>
      <obj_property name="ObjectShortName">maxcandlenxd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/matchlenxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">matchlenxd</obj_property>
      <obj_property name="ObjectShortName">matchlenxd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/matchlenlimitedxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">matchlenlimitedxd</obj_property>
      <obj_property name="ObjectShortName">matchlenlimitedxd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadptr2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr2xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr2xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/lookaheadptr2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr2xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr2xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/complain3xd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">complain3xd[127:0]</obj_property>
      <obj_property name="ObjectShortName">complain3xd[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/headerstrobexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">headerstrobexs</obj_property>
      <obj_property name="ObjectShortName">headerstrobexs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/headerdataxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">headerdataxd[7:0]</obj_property>
      <obj_property name="ObjectShortName">headerdataxd[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/bodystrobexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bodystrobexs</obj_property>
      <obj_property name="ObjectShortName">bodystrobexs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/bodydataxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bodydataxd[7:0]</obj_property>
      <obj_property name="ObjectShortName">bodydataxd[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/fifobuffersemptyxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">fifobuffersemptyxs</obj_property>
      <obj_property name="ObjectShortName">fifobuffersemptyxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outfifolengthxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">outfifolengthxd</obj_property>
      <obj_property name="ObjectShortName">outfifolengthxd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/encdonexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">encdonexs</obj_property>
      <obj_property name="ObjectShortName">encdonexs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/done3xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">done3xsn</obj_property>
      <obj_property name="ObjectShortName">done3xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/done3xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">done3xsp</obj_property>
      <obj_property name="ObjectShortName">done3xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/hist_buf_len" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">hist_buf_len</obj_property>
      <obj_property name="ObjectShortName">hist_buf_len</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/look_ahead_len" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">look_ahead_len</obj_property>
      <obj_property name="ObjectShortName">look_ahead_len</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/out_fifo_thr" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">out_fifo_thr</obj_property>
      <obj_property name="ObjectShortName">out_fifo_thr</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="divider203" type="divider">
      <obj_property name="label">out fifo</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="BkColor">128 128 255</obj_property>
      <obj_property name="TextColor">230 230 230</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/bodydataxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bodydataxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">bodydataxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/bodystrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bodystrobexsi</obj_property>
      <obj_property name="ObjectShortName">bodystrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/headerdataxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">headerdataxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">headerdataxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/headerstrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">headerstrobexsi</obj_property>
      <obj_property name="ObjectShortName">headerstrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/buffersemptyxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">buffersemptyxso</obj_property>
      <obj_property name="ObjectShortName">buffersemptyxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/bufoutxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/outputvalidxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxso</obj_property>
      <obj_property name="ObjectShortName">outputvalidxso</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/rdstrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rdstrobexsi</obj_property>
      <obj_property name="ObjectShortName">rdstrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/lengthxdo" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdo</obj_property>
      <obj_property name="ObjectShortName">lengthxdo</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/inputbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">inputbufxdn[0:15]</obj_property>
      <obj_property name="ObjectShortName">inputbufxdn[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/inputbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">inputbufxdp[0:15]</obj_property>
      <obj_property name="ObjectShortName">inputbufxdp[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/transbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">transbufxdn[0:16]</obj_property>
      <obj_property name="ObjectShortName">transbufxdn[0:16]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/transbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">transbufxdp[0:16]</obj_property>
      <obj_property name="ObjectShortName">transbufxdp[0:16]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/inbufcntxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">inbufcntxdn</obj_property>
      <obj_property name="ObjectShortName">inbufcntxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/inbufcntxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">inbufcntxdp</obj_property>
      <obj_property name="ObjectShortName">inbufcntxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/transbuflenxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">transbuflenxdn</obj_property>
      <obj_property name="ObjectShortName">transbuflenxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/transbuflenxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">transbuflenxdp</obj_property>
      <obj_property name="ObjectShortName">transbuflenxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/buffersemptyxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">buffersemptyxsn</obj_property>
      <obj_property name="ObjectShortName">buffersemptyxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/buffersemptyxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">buffersemptyxsp</obj_property>
      <obj_property name="ObjectShortName">buffersemptyxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/bramwexs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwexs[3:0]</obj_property>
      <obj_property name="ObjectShortName">bramwexs[3:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/bramwrinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwrinxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">bramwrinxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/bramwradrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwradrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramwradrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/bramrdadrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramrdadrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramrdadrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/bramdoutxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramdoutxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">bramdoutxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/doreadxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">doreadxs</obj_property>
      <obj_property name="ObjectShortName">doreadxs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/dowritexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">dowritexs</obj_property>
      <obj_property name="ObjectShortName">dowritexs</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/readlenxs" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readlenxs</obj_property>
      <obj_property name="ObjectShortName">readlenxs</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/writelenxs" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">writelenxs</obj_property>
      <obj_property name="ObjectShortName">writelenxs</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/lengthxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdn</obj_property>
      <obj_property name="ObjectShortName">lengthxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/lengthxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdp</obj_property>
      <obj_property name="ObjectShortName">lengthxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/readptrxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readptrxdn</obj_property>
      <obj_property name="ObjectShortName">readptrxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/readptrxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readptrxdp</obj_property>
      <obj_property name="ObjectShortName">readptrxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/wrptrxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdn</obj_property>
      <obj_property name="ObjectShortName">wrptrxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/wrptrxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdp</obj_property>
      <obj_property name="ObjectShortName">wrptrxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/fifoinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fifoinxd[15:0]</obj_property>
      <obj_property name="ObjectShortName">fifoinxd[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/fifoinselxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fifoinselxd[1:0]</obj_property>
      <obj_property name="ObjectShortName">fifoinselxd[1:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/outputvalidxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxsn</obj_property>
      <obj_property name="ObjectShortName">outputvalidxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/outputvalidxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxsp</obj_property>
      <obj_property name="ObjectShortName">outputvalidxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/statexsn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsn</obj_property>
      <obj_property name="ObjectShortName">statexsn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/statexsp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsp</obj_property>
      <obj_property name="ObjectShortName">statexsp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/framesize" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">framesize</obj_property>
      <obj_property name="ObjectShortName">framesize</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/adr_bit_len" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">adr_bit_len</obj_property>
      <obj_property name="ObjectShortName">adr_bit_len</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/depth" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">depth</obj_property>
      <obj_property name="ObjectShortName">depth</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/compressortop_tb/DUT/LZRWcompressorInst/outputFIFOInst/trans_buf_len" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">trans_buf_len</obj_property>
      <obj_property name="ObjectShortName">trans_buf_len</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.