OpenCores
URL https://opencores.org/ocsvn/lzrw1-compressor-core/lzrw1-compressor-core/trunk

Subversion Repositories lzrw1-compressor-core

[/] [lzrw1-compressor-core/] [trunk/] [hw/] [xst_14_2/] [LZRWcompressor.wcfg] - Rev 2

Go to most recent revision | Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="G:/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/LZRWcompressor_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="lzrwcompressor_tb" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_signed" />
            <top_module name="std_logic_textio" />
            <top_module name="textio" />
            <top_module name="vcomponents" />
            <top_module name="vital_primitives" />
            <top_module name="vital_timing" />
            <top_module name="vpkg" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="130" />
   <wave_markers>
      <marker time="1650000000" label="" />
   </wave_markers>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/datainxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">datainxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">datainxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/strobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobexsi</obj_property>
      <obj_property name="ObjectShortName">strobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/flushbufxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">flushbufxsi</obj_property>
      <obj_property name="ObjectShortName">flushbufxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/busyxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">busyxso</obj_property>
      <obj_property name="ObjectShortName">busyxso</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/donexso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">donexso</obj_property>
      <obj_property name="ObjectShortName">donexso</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/bufoutxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/outputvalidxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxso</obj_property>
      <obj_property name="ObjectShortName">outputvalidxso</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/rdstrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rdstrobexsi</obj_property>
      <obj_property name="ObjectShortName">rdstrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/lengthxdo" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdo</obj_property>
      <obj_property name="ObjectShortName">lengthxdo</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/tbdone" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">tbdone</obj_property>
      <obj_property name="ObjectShortName">tbdone</obj_property>
   </wvobject>
   <wvobject fp_name="divider90" type="divider">
      <obj_property name="label">INTERNALs</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="BkColor">128 128 255</obj_property>
      <obj_property name="TextColor">230 230 230</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/shiftlookaheadxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">shiftlookaheadxsn</obj_property>
      <obj_property name="ObjectShortName">shiftlookaheadxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/shiftlookaheadxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">shiftlookaheadxsp</obj_property>
      <obj_property name="ObjectShortName">shiftlookaheadxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbufxdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbufxdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbufxdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbufxdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlenxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlenxdn</obj_property>
      <obj_property name="ObjectShortName">lookaheadlenxdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlenxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlenxdp</obj_property>
      <obj_property name="ObjectShortName">lookaheadlenxdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata0xsn" type="logic" db_ref_id="1">
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="ElementShortName">endofdata0xsn</obj_property>
      <obj_property name="ObjectShortName">endofdata0xsn</obj_property>
      <obj_property name="label">endofdata0xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/strobe0xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe0xsn</obj_property>
      <obj_property name="ObjectShortName">strobe0xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/wrhistbufxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wrhistbufxs</obj_property>
      <obj_property name="ObjectShortName">wrhistbufxs</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/done0xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">done0xsn</obj_property>
      <obj_property name="ObjectShortName">done0xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/done0xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">done0xsp</obj_property>
      <obj_property name="ObjectShortName">done0xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/datain0xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">datain0xdn[7:0]</obj_property>
      <obj_property name="ObjectShortName">datain0xdn[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentryxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">hashtableentryxd[11:0]</obj_property>
      <obj_property name="ObjectShortName">hashtableentryxd[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/histbufoutxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">histbufoutxd[127:0]</obj_property>
      <obj_property name="ObjectShortName">histbufoutxd[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradrxd" type="array" db_ref_id="1">
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="ElementShortName">nextwradrxd[11:0]</obj_property>
      <obj_property name="ObjectShortName">nextwradrxd[11:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      <obj_property name="label">nextwradrxd[11:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr0xd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr0xd[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr0xd[11:0]</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen0xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen0xdn</obj_property>
      <obj_property name="ObjectShortName">histbuflen0xdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/statexsn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsn</obj_property>
      <obj_property name="ObjectShortName">statexsn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/statexsp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsp</obj_property>
      <obj_property name="ObjectShortName">statexsp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="divider165" type="divider">
      <obj_property name="label">stage 1</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="BkColor">128 128 255</obj_property>
      <obj_property name="TextColor">230 230 230</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/strobe0xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe0xsp</obj_property>
      <obj_property name="ObjectShortName">strobe0xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata0xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata0xsp</obj_property>
      <obj_property name="ObjectShortName">endofdata0xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/datain0xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">datain0xdp[7:0]</obj_property>
      <obj_property name="ObjectShortName">datain0xdp[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentryxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">hashtableentryxd[11:0]</obj_property>
      <obj_property name="ObjectShortName">hashtableentryxd[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen0xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen0xdp</obj_property>
      <obj_property name="ObjectShortName">histbuflen0xdp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen1xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlen1xdn</obj_property>
      <obj_property name="ObjectShortName">lookaheadlen1xdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata1xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata1xsn</obj_property>
      <obj_property name="ObjectShortName">endofdata1xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf1xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbuf1xdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbuf1xdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/wradr1xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">wradr1xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">wradr1xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr1xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr1xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr1xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr1xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candaddr1xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">candaddr1xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen1xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen1xdn</obj_property>
      <obj_property name="ObjectShortName">histbuflen1xdn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/strobe1xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe1xsn</obj_property>
      <obj_property name="ObjectShortName">strobe1xsn</obj_property>
   </wvobject>
   <wvobject fp_name="divider80" type="divider">
      <obj_property name="label">Stage 2</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="BkColor">128 128 255</obj_property>
      <obj_property name="TextColor">230 230 230</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/strobe1xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe1xsp</obj_property>
      <obj_property name="ObjectShortName">strobe1xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata1xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata1xsp</obj_property>
      <obj_property name="ObjectShortName">endofdata1xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen1xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlen1xdp</obj_property>
      <obj_property name="ObjectShortName">lookaheadlen1xdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/candidate2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candidate2xdn[127:0]</obj_property>
      <obj_property name="ObjectShortName">candidate2xdn[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf1xdp" type="array" db_ref_id="1">
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="ElementShortName">lookaheadbuf1xdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbuf1xdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
      <obj_property name="label">lookaheadbuf1xdp[15:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr1xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candaddr1xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">candaddr1xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/wradr1xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">wradr1xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">wradr1xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen2xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen2xdn</obj_property>
      <obj_property name="ObjectShortName">histbuflen2xdn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr1xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr1xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr1xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen1xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen1xdp</obj_property>
      <obj_property name="ObjectShortName">histbuflen1xdp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen2xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlen2xdn</obj_property>
      <obj_property name="ObjectShortName">lookaheadlen2xdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbuf2xdn[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbuf2xdn[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradr2xdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">nextwradr2xdn</obj_property>
      <obj_property name="ObjectShortName">nextwradr2xdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candaddr2xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">candaddr2xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr2xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr2xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/candlen2xd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">candlen2xd</obj_property>
      <obj_property name="ObjectShortName">candlen2xd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata2xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata2xsn</obj_property>
      <obj_property name="ObjectShortName">endofdata2xsn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentry2xdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">hashtableentry2xdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">hashtableentry2xdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/strobe2xsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">strobe2xsn</obj_property>
      <obj_property name="ObjectShortName">strobe2xsn</obj_property>
   </wvobject>
   <wvobject fp_name="divider82" type="divider">
      <obj_property name="label">stage 3</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="BkColor">128 128 255</obj_property>
      <obj_property name="TextColor">230 230 230</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/strobe2xsp" type="logic" db_ref_id="1">
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="ElementShortName">strobe2xsp</obj_property>
      <obj_property name="ObjectShortName">strobe2xsp</obj_property>
      <obj_property name="label">strobe2xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/endofdata2xsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdata2xsp</obj_property>
      <obj_property name="ObjectShortName">endofdata2xsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/nextwradr2xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">nextwradr2xdp</obj_property>
      <obj_property name="ObjectShortName">nextwradr2xdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/histbuflen2xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">histbuflen2xdp</obj_property>
      <obj_property name="ObjectShortName">histbuflen2xdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadbuf2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadbuf2xdp[15:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadbuf2xdp[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/candidate2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candidate2xdp[127:0]</obj_property>
      <obj_property name="ObjectShortName">candidate2xdp[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/complain3xd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">complain3xd[127:0]</obj_property>
      <obj_property name="ObjectShortName">complain3xd[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/candaddr2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">candaddr2xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">candaddr2xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/hashtableentry2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">hashtableentry2xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">hashtableentry2xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadlen2xdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadlen2xdp</obj_property>
      <obj_property name="ObjectShortName">lookaheadlen2xdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/matchlenxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">matchlenxd</obj_property>
      <obj_property name="ObjectShortName">matchlenxd</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/matchlenlimitedxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">matchlenlimitedxd</obj_property>
      <obj_property name="ObjectShortName">matchlenlimitedxd</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/lookaheadptr2xdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lookaheadptr2xdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">lookaheadptr2xdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/offsetintxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">offsetintxd</obj_property>
      <obj_property name="ObjectShortName">offsetintxd</obj_property>
      <obj_property name="Radix">SIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/offsetxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">offsetxd[11:0]</obj_property>
      <obj_property name="ObjectShortName">offsetxd[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/headerstrobexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">headerstrobexs</obj_property>
      <obj_property name="ObjectShortName">headerstrobexs</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/headerdataxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">headerdataxd[7:0]</obj_property>
      <obj_property name="ObjectShortName">headerdataxd[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/bodystrobexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bodystrobexs</obj_property>
      <obj_property name="ObjectShortName">bodystrobexs</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/bodydataxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bodydataxd[7:0]</obj_property>
      <obj_property name="ObjectShortName">bodydataxd[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="divider136" type="divider">
      <obj_property name="label">output fifo</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="BkColor">128 128 255</obj_property>
      <obj_property name="TextColor">230 230 230</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bodydataxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bodydataxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">bodydataxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bodystrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bodystrobexsi</obj_property>
      <obj_property name="ObjectShortName">bodystrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/headerdataxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">headerdataxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">headerdataxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/headerstrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">headerstrobexsi</obj_property>
      <obj_property name="ObjectShortName">headerstrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bufoutxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxso</obj_property>
      <obj_property name="ObjectShortName">outputvalidxso</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/rdstrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rdstrobexsi</obj_property>
      <obj_property name="ObjectShortName">rdstrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdo" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdo</obj_property>
      <obj_property name="ObjectShortName">lengthxdo</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inputbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">inputbufxdn[0:15]</obj_property>
      <obj_property name="ObjectShortName">inputbufxdn[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inputbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">inputbufxdp[0:15]</obj_property>
      <obj_property name="ObjectShortName">inputbufxdp[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">transbufxdn[0:16]</obj_property>
      <obj_property name="ObjectShortName">transbufxdn[0:16]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">transbufxdp[0:16]</obj_property>
      <obj_property name="ObjectShortName">transbufxdp[0:16]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inbufcntxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">inbufcntxdn</obj_property>
      <obj_property name="ObjectShortName">inbufcntxdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/inbufcntxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">inbufcntxdp</obj_property>
      <obj_property name="ObjectShortName">inbufcntxdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbuflenxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">transbuflenxdn</obj_property>
      <obj_property name="ObjectShortName">transbuflenxdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/transbuflenxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">transbuflenxdp</obj_property>
      <obj_property name="ObjectShortName">transbuflenxdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwexs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwexs[3:0]</obj_property>
      <obj_property name="ObjectShortName">bramwexs[3:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwrinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwrinxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">bramwrinxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramwradrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwradrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramwradrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramrdadrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramrdadrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramrdadrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/bramdoutxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramdoutxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">bramdoutxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/doreadxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">doreadxs</obj_property>
      <obj_property name="ObjectShortName">doreadxs</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/dowritexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">dowritexs</obj_property>
      <obj_property name="ObjectShortName">dowritexs</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readlenxs" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readlenxs</obj_property>
      <obj_property name="ObjectShortName">readlenxs</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/writelenxs" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">writelenxs</obj_property>
      <obj_property name="ObjectShortName">writelenxs</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdn</obj_property>
      <obj_property name="ObjectShortName">lengthxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/lengthxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdp</obj_property>
      <obj_property name="ObjectShortName">lengthxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readptrxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readptrxdn</obj_property>
      <obj_property name="ObjectShortName">readptrxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/readptrxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readptrxdp</obj_property>
      <obj_property name="ObjectShortName">readptrxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/wrptrxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdn</obj_property>
      <obj_property name="ObjectShortName">wrptrxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/wrptrxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdp</obj_property>
      <obj_property name="ObjectShortName">wrptrxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/fifoinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fifoinxd[15:0]</obj_property>
      <obj_property name="ObjectShortName">fifoinxd[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/fifoinselxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fifoinselxd[1:0]</obj_property>
      <obj_property name="ObjectShortName">fifoinselxd[1:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxsn</obj_property>
      <obj_property name="ObjectShortName">outputvalidxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/outputvalidxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxsp</obj_property>
      <obj_property name="ObjectShortName">outputvalidxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/statexsn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsn</obj_property>
      <obj_property name="ObjectShortName">statexsn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/statexsp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsp</obj_property>
      <obj_property name="ObjectShortName">statexsp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/framesize" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">framesize</obj_property>
      <obj_property name="ObjectShortName">framesize</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/adr_bit_len" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">adr_bit_len</obj_property>
      <obj_property name="ObjectShortName">adr_bit_len</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/depth" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">depth</obj_property>
      <obj_property name="ObjectShortName">depth</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/lzrwcompressor_tb/DUT/outputFIFOInst/trans_buf_len" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">trans_buf_len</obj_property>
      <obj_property name="ObjectShortName">trans_buf_len</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
</wave_config>

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.