OpenCores
URL https://opencores.org/ocsvn/lzrw1-compressor-core/lzrw1-compressor-core/trunk

Subversion Repositories lzrw1-compressor-core

[/] [lzrw1-compressor-core/] [trunk/] [hw/] [xst_14_2/] [history.wcfg] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3/historyBuffer_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="historybuffer_tb" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_signed" />
            <top_module name="std_logic_textio" />
            <top_module name="textio" />
            <top_module name="vcomponents" />
            <top_module name="vital_primitives" />
            <top_module name="vital_timing" />
            <top_module name="vpkg" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="30" />
   <wvobject fp_name="/historybuffer_tb/DUT/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/writeinxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">writeinxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">writeinxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/wexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wexsi</obj_property>
      <obj_property name="ObjectShortName">wexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/nextwradrxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">nextwradrxdo[11:0]</obj_property>
      <obj_property name="ObjectShortName">nextwradrxdo[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/rexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rexsi</obj_property>
      <obj_property name="ObjectShortName">rexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/readbackadrxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">readbackadrxdi[11:2]</obj_property>
      <obj_property name="ObjectShortName">readbackadrxdi[11:2]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/readbackxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">readbackxdo[127:0]</obj_property>
      <obj_property name="ObjectShortName">readbackxdo[127:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/readbackdonexso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">readbackdonexso</obj_property>
      <obj_property name="ObjectShortName">readbackdonexso</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/wrptrxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdn[11:0]</obj_property>
      <obj_property name="ObjectShortName">wrptrxdn[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/wrptrxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdp[11:0]</obj_property>
      <obj_property name="ObjectShortName">wrptrxdp[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ramwradrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ramwradrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">ramwradrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram0rdadraxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram0rdadraxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">ram0rdadraxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram0rdadrbxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram0rdadrbxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">ram0rdadrbxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram1rdadraxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram1rdadraxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">ram1rdadraxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram1rdadrbxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram1rdadrbxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">ram1rdadrbxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram0adraxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram0adraxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">ram0adraxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram1adraxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram1adraxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">ram1adraxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ramwrdataxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ramwrdataxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">ramwrdataxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram0outaxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram0outaxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">ram0outaxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram0outbxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram0outbxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">ram0outbxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram1outaxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram1outaxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">ram1outaxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram1outbxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram1outbxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">ram1outbxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram0wexs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram0wexs[3:0]</obj_property>
      <obj_property name="ObjectShortName">ram0wexs[3:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram1wexs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">ram1wexs[3:0]</obj_property>
      <obj_property name="ObjectShortName">ram1wexs[3:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/rdadrintxd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">rdadrintxd</obj_property>
      <obj_property name="ObjectShortName">rdadrintxd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram0rdadrbasexd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">ram0rdadrbasexd</obj_property>
      <obj_property name="ObjectShortName">ram0rdadrbasexd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/ram1rdadrbasexd" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">ram1rdadrbasexd</obj_property>
      <obj_property name="ObjectShortName">ram1rdadrbasexd</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/lastreadbackadrxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lastreadbackadrxdn[11:2]</obj_property>
      <obj_property name="ObjectShortName">lastreadbackadrxdn[11:2]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/historybuffer_tb/DUT/lastreadbackadrxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">lastreadbackadrxdp[11:2]</obj_property>
      <obj_property name="ObjectShortName">lastreadbackadrxdp[11:2]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.