OpenCores
URL https://opencores.org/ocsvn/lzrw1-compressor-core/lzrw1-compressor-core/trunk

Subversion Repositories lzrw1-compressor-core

[/] [lzrw1-compressor-core/] [trunk/] [hw/] [xst_14_2/] [inputFIFO.wcfg] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="/home/lukas/e-/logic-analyzer/compression-test/hw/xst_12_3/InputFIFO_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="inputfifo_tb" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_signed" />
            <top_module name="std_logic_textio" />
            <top_module name="textio" />
            <top_module name="vcomponents" />
            <top_module name="vital_primitives" />
            <top_module name="vital_timing" />
            <top_module name="vpkg" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="25" />
   <wvobject fp_name="/inputfifo_tb/DUT/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/dinxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">dinxdi[31:0]</obj_property>
      <obj_property name="ObjectShortName">dinxdi[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/wexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">wexsi</obj_property>
      <obj_property name="ObjectShortName">wexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/stopoutputxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">stopoutputxsi</obj_property>
      <obj_property name="ObjectShortName">stopoutputxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/busyxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">busyxso</obj_property>
      <obj_property name="ObjectShortName">busyxso</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/doutxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">doutxdo[7:0]</obj_property>
      <obj_property name="ObjectShortName">doutxdo[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/outstrobexso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outstrobexso</obj_property>
      <obj_property name="ObjectShortName">outstrobexso</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/lengthxdo" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdo</obj_property>
      <obj_property name="ObjectShortName">lengthxdo</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/lengthxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdn</obj_property>
      <obj_property name="ObjectShortName">lengthxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/lengthxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdp</obj_property>
      <obj_property name="ObjectShortName">lengthxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/wrptrxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdn</obj_property>
      <obj_property name="ObjectShortName">wrptrxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/wrptrxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdp</obj_property>
      <obj_property name="ObjectShortName">wrptrxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/rdptrxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">rdptrxdn</obj_property>
      <obj_property name="ObjectShortName">rdptrxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/rdptrxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">rdptrxdp</obj_property>
      <obj_property name="ObjectShortName">rdptrxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/dowritexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">dowritexs</obj_property>
      <obj_property name="ObjectShortName">dowritexs</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/doreadxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">doreadxs</obj_property>
      <obj_property name="ObjectShortName">doreadxs</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/outstrobexsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outstrobexsn</obj_property>
      <obj_property name="ObjectShortName">outstrobexsn</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/outstrobexsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outstrobexsp</obj_property>
      <obj_property name="ObjectShortName">outstrobexsp</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/busyxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">busyxsn</obj_property>
      <obj_property name="ObjectShortName">busyxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/busyxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">busyxsp</obj_property>
      <obj_property name="ObjectShortName">busyxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/bramdoutxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramdoutxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">bramdoutxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/bramdinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramdinxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">bramdinxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/bramwradrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwradrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramwradrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/inputfifo_tb/DUT/bramrdadrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramrdadrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramrdadrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.