OpenCores
URL https://opencores.org/ocsvn/lzrw1-compressor-core/lzrw1-compressor-core/trunk

Subversion Repositories lzrw1-compressor-core

[/] [lzrw1-compressor-core/] [trunk/] [hw/] [xst_14_2/] [outputEncoder.wcfg] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="/home/lukas/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/outputEncoder_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="numeric_std" />
            <top_module name="outputencoder_tb" />
            <top_module name="std_logic_1164" />
            <top_module name="vcomponents" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="34" />
   <wvobject fp_name="/outputencoder_tb/DUT/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/offsetxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">offsetxdi[11:0]</obj_property>
      <obj_property name="ObjectShortName">offsetxdi[11:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/matchlengthxdi" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">matchlengthxdi</obj_property>
      <obj_property name="ObjectShortName">matchlengthxdi</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/donexsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">donexsp</obj_property>
      <obj_property name="ObjectShortName">donexsp</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/donexsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">donexsn</obj_property>
      <obj_property name="ObjectShortName">donexsn</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/donexso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">donexso</obj_property>
      <obj_property name="ObjectShortName">donexso</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/enxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">enxsi</obj_property>
      <obj_property name="ObjectShortName">enxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/endofdataxsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdataxsi</obj_property>
      <obj_property name="ObjectShortName">endofdataxsi</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/literalxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">literalxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">literalxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/bodystrobexso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bodystrobexso</obj_property>
      <obj_property name="ObjectShortName">bodystrobexso</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/bodyoutxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bodyoutxdo[7:0]</obj_property>
      <obj_property name="ObjectShortName">bodyoutxdo[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/headerstrobexso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">headerstrobexso</obj_property>
      <obj_property name="ObjectShortName">headerstrobexso</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/headeroutxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">headeroutxdo[7:0]</obj_property>
      <obj_property name="ObjectShortName">headeroutxdo[7:0]</obj_property>
      <obj_property name="Radix">BINARYRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/suppresscntxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">suppresscntxdn</obj_property>
      <obj_property name="ObjectShortName">suppresscntxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/suppresscntxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">suppresscntxdp</obj_property>
      <obj_property name="ObjectShortName">suppresscntxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/flagbytexdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">flagbytexdn[7:0]</obj_property>
      <obj_property name="ObjectShortName">flagbytexdn[7:0]</obj_property>
      <obj_property name="Radix">BINARYRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/flagbytexdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">flagbytexdp[7:0]</obj_property>
      <obj_property name="ObjectShortName">flagbytexdp[7:0]</obj_property>
      <obj_property name="Radix">BINARYRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/flagcntxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">flagcntxdn</obj_property>
      <obj_property name="ObjectShortName">flagcntxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/flagcntxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">flagcntxdp</obj_property>
      <obj_property name="ObjectShortName">flagcntxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/suppressbytexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">suppressbytexs</obj_property>
      <obj_property name="ObjectShortName">suppressbytexs</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/encodeaspairxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">encodeaspairxs</obj_property>
      <obj_property name="ObjectShortName">encodeaspairxs</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/pairxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">pairxd[15:0]</obj_property>
      <obj_property name="ObjectShortName">pairxd[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/outbufinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">outbufinxd[7:0]</obj_property>
      <obj_property name="ObjectShortName">outbufinxd[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/shiftoutbufxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">shiftoutbufxs</obj_property>
      <obj_property name="ObjectShortName">shiftoutbufxs</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/overflowbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">overflowbufxdn[7:0]</obj_property>
      <obj_property name="ObjectShortName">overflowbufxdn[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/overflowbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">overflowbufxdp[7:0]</obj_property>
      <obj_property name="ObjectShortName">overflowbufxdp[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/ovfvalidxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ovfvalidxsn</obj_property>
      <obj_property name="ObjectShortName">ovfvalidxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/ovfvalidxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">ovfvalidxsp</obj_property>
      <obj_property name="ObjectShortName">ovfvalidxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/endofdataxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdataxsn</obj_property>
      <obj_property name="ObjectShortName">endofdataxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/endofdataxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">endofdataxsp</obj_property>
      <obj_property name="ObjectShortName">endofdataxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/framesize" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">framesize</obj_property>
      <obj_property name="ObjectShortName">framesize</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/minmatchlen" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">minmatchlen</obj_property>
      <obj_property name="ObjectShortName">minmatchlen</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputencoder_tb/DUT/maxmatchlen" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">maxmatchlen</obj_property>
      <obj_property name="ObjectShortName">maxmatchlen</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.