OpenCores
URL https://opencores.org/ocsvn/lzrw1-compressor-core/lzrw1-compressor-core/trunk

Subversion Repositories lzrw1-compressor-core

[/] [lzrw1-compressor-core/] [trunk/] [hw/] [xst_14_2/] [outputFIFO.wcfg] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="/home/lukas/e-/logic-analyzer/LZRW-compressor/hw/xst_14_2/outputFIFO_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="numeric_std" />
            <top_module name="outputfifo_tb" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_signed" />
            <top_module name="std_logic_textio" />
            <top_module name="textio" />
            <top_module name="vcomponents" />
            <top_module name="vital_primitives" />
            <top_module name="vital_timing" />
            <top_module name="vpkg" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <WVObjectSize size="43" />
   <wvobject fp_name="/outputfifo_tb/DUT/clkxci" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">clkxci</obj_property>
      <obj_property name="ObjectShortName">clkxci</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/rstxri" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rstxri</obj_property>
      <obj_property name="ObjectShortName">rstxri</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/bodydataxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bodydataxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">bodydataxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/bodystrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">bodystrobexsi</obj_property>
      <obj_property name="ObjectShortName">bodystrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/headerdataxdi" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">headerdataxdi[7:0]</obj_property>
      <obj_property name="ObjectShortName">headerdataxdi[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/headerstrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">headerstrobexsi</obj_property>
      <obj_property name="ObjectShortName">headerstrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/buffersemptyxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">buffersemptyxso</obj_property>
      <obj_property name="ObjectShortName">buffersemptyxso</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/bufoutxdo" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="ObjectShortName">bufoutxdo[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/outputvalidxso" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">outputvalidxso</obj_property>
      <obj_property name="ObjectShortName">outputvalidxso</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/rdstrobexsi" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">rdstrobexsi</obj_property>
      <obj_property name="ObjectShortName">rdstrobexsi</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/lengthxdo" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdo</obj_property>
      <obj_property name="ObjectShortName">lengthxdo</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/inputbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">inputbufxdn[0:15]</obj_property>
      <obj_property name="ObjectShortName">inputbufxdn[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/inputbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">inputbufxdp[0:15]</obj_property>
      <obj_property name="ObjectShortName">inputbufxdp[0:15]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/transbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">transbufxdn[0:16]</obj_property>
      <obj_property name="ObjectShortName">transbufxdn[0:16]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/transbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">transbufxdp[0:16]</obj_property>
      <obj_property name="ObjectShortName">transbufxdp[0:16]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/inbufcntxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">inbufcntxdn</obj_property>
      <obj_property name="ObjectShortName">inbufcntxdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/inbufcntxdp" type="other" db_ref_id="1">
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="ElementShortName">inbufcntxdp</obj_property>
      <obj_property name="ObjectShortName">inbufcntxdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
      <obj_property name="label">inbufcntxdp</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/transbuflenxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">transbuflenxdn</obj_property>
      <obj_property name="ObjectShortName">transbuflenxdn</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/transbuflenxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">transbuflenxdp</obj_property>
      <obj_property name="ObjectShortName">transbuflenxdp</obj_property>
      <obj_property name="Radix">UNSIGNEDDECRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/headerinbufxdn" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">headerinbufxdn[7:0]</obj_property>
      <obj_property name="ObjectShortName">headerinbufxdn[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/headerinbufxdp" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">headerinbufxdp[7:0]</obj_property>
      <obj_property name="ObjectShortName">headerinbufxdp[7:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/copyreqxsn" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">copyreqxsn</obj_property>
      <obj_property name="ObjectShortName">copyreqxsn</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/copyreqxsp" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">copyreqxsp</obj_property>
      <obj_property name="ObjectShortName">copyreqxsp</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/transbufbusyxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">transbufbusyxs</obj_property>
      <obj_property name="ObjectShortName">transbufbusyxs</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/bramwexs" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwexs[3:0]</obj_property>
      <obj_property name="ObjectShortName">bramwexs[3:0]</obj_property>
      <obj_property name="Radix">BINARYRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/bramwrinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwrinxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">bramwrinxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/bramwradrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramwradrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramwradrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/bramrdadrxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramrdadrxd[13:0]</obj_property>
      <obj_property name="ObjectShortName">bramrdadrxd[13:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/bramdoutxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">bramdoutxd[31:0]</obj_property>
      <obj_property name="ObjectShortName">bramdoutxd[31:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/doreadxs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">doreadxs</obj_property>
      <obj_property name="ObjectShortName">doreadxs</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/dowritexs" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">dowritexs</obj_property>
      <obj_property name="ObjectShortName">dowritexs</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/readlenxs" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readlenxs</obj_property>
      <obj_property name="ObjectShortName">readlenxs</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/writelenxs" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">writelenxs</obj_property>
      <obj_property name="ObjectShortName">writelenxs</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/lengthxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdn</obj_property>
      <obj_property name="ObjectShortName">lengthxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/lengthxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">lengthxdp</obj_property>
      <obj_property name="ObjectShortName">lengthxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/readptrxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readptrxdn</obj_property>
      <obj_property name="ObjectShortName">readptrxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/readptrxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">readptrxdp</obj_property>
      <obj_property name="ObjectShortName">readptrxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/wrptrxdn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdn</obj_property>
      <obj_property name="ObjectShortName">wrptrxdn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/wrptrxdp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">wrptrxdp</obj_property>
      <obj_property name="ObjectShortName">wrptrxdp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/fifoinxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fifoinxd[15:0]</obj_property>
      <obj_property name="ObjectShortName">fifoinxd[15:0]</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/fifoinselxd" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">fifoinselxd[1:0]</obj_property>
      <obj_property name="ObjectShortName">fifoinselxd[1:0]</obj_property>
      <obj_property name="Radix">BINARYRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/statexsn" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsn</obj_property>
      <obj_property name="ObjectShortName">statexsn</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
   <wvobject fp_name="/outputfifo_tb/DUT/statexsp" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">statexsp</obj_property>
      <obj_property name="ObjectShortName">statexsp</obj_property>
      <obj_property name="Radix">HEXRADIX</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.