OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [behav/] [testbench/] [testbench.v] - Rev 2

Go to most recent revision | Compare with Previous | Blame | View Log

/*
 * Simply RISC M1 Core Testbench
 */
 
module testbench();
  reg sys_clock_i, sys_reset_i;
  reg[31:0] sys_irq_i;
 
  m1_core core_0(sys_clock_i, sys_reset_i, sys_irq_i);
 
  always #1 sys_clock_i = ~sys_clock_i;
 
  initial begin
 
    // Display start message
    $display("INFO: TBENCH(%m): Starting Simply RISC M1 Core simulation...");
 
    // Create VCD trace file
    $dumpfile("trace.vcd");
    $dumpvars();
 
    // Run the simulation
    sys_clock_i <= 1;
    sys_reset_i <= 1;
    #5
    sys_reset_i <= 0;
    #1000
    $display("INFO: TBENCH(%m): Completed Simply RISC M1 Core simulation!");
    $finish;
 
  end
 
endmodule
 
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.