OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [filelist.dc] - Rev 12

Go to most recent revision | Compare with Previous | Blame | View Log

# Synthesis script for dc_shell (Tcl mode)

# Analyze

set search_path [concat [list /home/fabrizio/m1_core/hdl/rtl/m1_cpu] $search_path]
analyze -format verilog ~/m1_core/hdl/rtl/m1_cpu/m1_alu.v
analyze -format verilog ~/m1_core/hdl/rtl/m1_cpu/m1_cpu.v

# Technology-independent elaboration and linking

set active_design m1_cpu
elaborate $active_design
current_design $active_design
link
uniquify

# Constraints and mapping on target library

create_clock -period 4.0 -waveform [list 0 2.0] sys_clock_i
set_input_delay 2.0 -clock sys_clock_i -max [all_inputs]
set_output_delay 1.0 -clock sys_clock_i -max [all_outputs]
set_dont_touch_network [list sys_clock_i sys_reset_i]
set_drive 0 [list sys_clock_i sys_reset_i]
set_wire_load_mode enclosed
set_max_area 0
set_fix_multiple_port_nets -buffer_constants -all
compile

# Export the mapped design

remove_unconnected_ports [find -hierarchy cell {"*"}]
write -format ddc -hierarchy -output $active_design.ddc
write -format verilog -hierarchy -output $active_design.sv

# Report area and timing

report_area -hierarchy > report_area.rpt
report_timing > report_timing.rpt
report_constraint -all_violators > report_constraint.rpt

quit

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.