OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [hdl/] [rtl/] [m1_core/] [m1_mmu_initial.vh] - Rev 54

Compare with Previous | Blame | View Log

/* THIS FILE IS GENERATED AUTOMATICALLY BY THE compile_test SCRIPT */
MEM[0] <= 32'h201d1000;
MEM[1] <= 32'h3c1fdead;
MEM[2] <= 32'h37ffbeef;
MEM[3] <= 32'h00000000;
MEM[4] <= 32'h27bdffe8;
MEM[5] <= 32'hafbe0010;
MEM[6] <= 32'h03a0f021;
MEM[7] <= 32'h3c02faba;
MEM[8] <= 32'h34421210;
MEM[9] <= 32'hafc20008;
MEM[10] <= 32'h8fc30008;
MEM[11] <= 32'h24020048;
MEM[12] <= 32'hac620000;
MEM[13] <= 32'h8fc30008;
MEM[14] <= 32'h24020065;
MEM[15] <= 32'hac620000;
MEM[16] <= 32'h8fc30008;
MEM[17] <= 32'h2402006c;
MEM[18] <= 32'hac620000;
MEM[19] <= 32'h8fc30008;
MEM[20] <= 32'h2402006c;
MEM[21] <= 32'hac620000;
MEM[22] <= 32'h8fc30008;
MEM[23] <= 32'h2402006f;
MEM[24] <= 32'hac620000;
MEM[25] <= 32'h8fc30008;
MEM[26] <= 32'h24020021;
MEM[27] <= 32'hac620000;
MEM[28] <= 32'h8fc30008;
MEM[29] <= 32'h2402000a;
MEM[30] <= 32'hac620000;
MEM[31] <= 32'h00001021;
MEM[32] <= 32'h03c0e821;
MEM[33] <= 32'h8fbe0010;
MEM[34] <= 32'h27bd0018;
MEM[35] <= 32'h03e00008;
MEM[36] <= 32'h00000000;
MEM[37] <= 32'h00000000;
MEM[38] <= 32'h00000000;
MEM[39] <= 32'h00000000;
MEM[40] <= 32'h00000000;
MEM[41] <= 32'h00000000;
MEM[42] <= 32'h00000000;
MEM[43] <= 32'h00000000;
MEM[44] <= 32'h00000000;
MEM[45] <= 32'h00000000;
MEM[46] <= 32'h00000000;
MEM[47] <= 32'h00000000;
MEM[48] <= 32'h00000000;
MEM[49] <= 32'h00000000;
MEM[50] <= 32'h00000000;
MEM[51] <= 32'h00000000;
MEM[52] <= 32'h00000000;
MEM[53] <= 32'h00000000;
MEM[54] <= 32'h00000000;
MEM[55] <= 32'h00000000;
MEM[56] <= 32'h00000000;
MEM[57] <= 32'h00000000;
MEM[58] <= 32'h00000000;
MEM[59] <= 32'h00000000;
MEM[60] <= 32'h00000000;
MEM[61] <= 32'h00000000;
MEM[62] <= 32'h00000000;
MEM[63] <= 32'h00000000;
MEM[64] <= 32'h00000000;
MEM[65] <= 32'h00000000;
MEM[66] <= 32'h00000000;
MEM[67] <= 32'h00000000;
MEM[68] <= 32'h00000000;
MEM[69] <= 32'h00000000;
MEM[70] <= 32'h00000000;
MEM[71] <= 32'h00000000;
MEM[72] <= 32'h00000000;
MEM[73] <= 32'h00000000;
MEM[74] <= 32'h00000000;
MEM[75] <= 32'h00000000;
MEM[76] <= 32'h00000000;
MEM[77] <= 32'h00000000;
MEM[78] <= 32'h00000000;
MEM[79] <= 32'h00000000;
MEM[80] <= 32'h00000000;
MEM[81] <= 32'h00000000;
MEM[82] <= 32'h00000000;
MEM[83] <= 32'h00000000;
MEM[84] <= 32'h00000000;
MEM[85] <= 32'h00000000;
MEM[86] <= 32'h00000000;
MEM[87] <= 32'h00000000;
MEM[88] <= 32'h00000000;
MEM[89] <= 32'h00000000;
MEM[90] <= 32'h00000000;
MEM[91] <= 32'h00000000;
MEM[92] <= 32'h00000000;
MEM[93] <= 32'h00000000;
MEM[94] <= 32'h00000000;
MEM[95] <= 32'h00000000;
MEM[96] <= 32'h00000000;
MEM[97] <= 32'h00000000;
MEM[98] <= 32'h00000000;
MEM[99] <= 32'h00000000;
MEM[100] <= 32'h00000000;
MEM[101] <= 32'h00000000;
MEM[102] <= 32'h00000000;
MEM[103] <= 32'h00000000;
MEM[104] <= 32'h00000000;
MEM[105] <= 32'h00000000;
MEM[106] <= 32'h00000000;
MEM[107] <= 32'h00000000;
MEM[108] <= 32'h00000000;
MEM[109] <= 32'h00000000;
MEM[110] <= 32'h00000000;
MEM[111] <= 32'h00000000;
MEM[112] <= 32'h00000000;
MEM[113] <= 32'h00000000;
MEM[114] <= 32'h00000000;
MEM[115] <= 32'h00000000;
MEM[116] <= 32'h00000000;
MEM[117] <= 32'h00000000;
MEM[118] <= 32'h00000000;
MEM[119] <= 32'h00000000;
MEM[120] <= 32'h00000000;
MEM[121] <= 32'h00000000;
MEM[122] <= 32'h00000000;
MEM[123] <= 32'h00000000;
MEM[124] <= 32'h00000000;
MEM[125] <= 32'h00000000;
MEM[126] <= 32'h00000000;
MEM[127] <= 32'h00000000;
MEM[128] <= 32'h00000000;
MEM[129] <= 32'h00000000;
MEM[130] <= 32'h00000000;
MEM[131] <= 32'h00000000;
MEM[132] <= 32'h00000000;
MEM[133] <= 32'h00000000;
MEM[134] <= 32'h00000000;
MEM[135] <= 32'h00000000;
MEM[136] <= 32'h00000000;
MEM[137] <= 32'h00000000;
MEM[138] <= 32'h00000000;
MEM[139] <= 32'h00000000;
MEM[140] <= 32'h00000000;
MEM[141] <= 32'h00000000;
MEM[142] <= 32'h00000000;
MEM[143] <= 32'h00000000;
MEM[144] <= 32'h00000000;
MEM[145] <= 32'h00000000;
MEM[146] <= 32'h00000000;
MEM[147] <= 32'h00000000;
MEM[148] <= 32'h00000000;
MEM[149] <= 32'h00000000;
MEM[150] <= 32'h00000000;
MEM[151] <= 32'h00000000;
MEM[152] <= 32'h00000000;
MEM[153] <= 32'h00000000;
MEM[154] <= 32'h00000000;
MEM[155] <= 32'h00000000;
MEM[156] <= 32'h00000000;
MEM[157] <= 32'h00000000;
MEM[158] <= 32'h00000000;
MEM[159] <= 32'h00000000;
MEM[160] <= 32'h00000000;
MEM[161] <= 32'h00000000;
MEM[162] <= 32'h00000000;
MEM[163] <= 32'h00000000;
MEM[164] <= 32'h00000000;
MEM[165] <= 32'h00000000;
MEM[166] <= 32'h00000000;
MEM[167] <= 32'h00000000;
MEM[168] <= 32'h00000000;
MEM[169] <= 32'h00000000;
MEM[170] <= 32'h00000000;
MEM[171] <= 32'h00000000;
MEM[172] <= 32'h00000000;
MEM[173] <= 32'h00000000;
MEM[174] <= 32'h00000000;
MEM[175] <= 32'h00000000;
MEM[176] <= 32'h00000000;
MEM[177] <= 32'h00000000;
MEM[178] <= 32'h00000000;
MEM[179] <= 32'h00000000;
MEM[180] <= 32'h00000000;
MEM[181] <= 32'h00000000;
MEM[182] <= 32'h00000000;
MEM[183] <= 32'h00000000;
MEM[184] <= 32'h00000000;
MEM[185] <= 32'h00000000;
MEM[186] <= 32'h00000000;
MEM[187] <= 32'h00000000;
MEM[188] <= 32'h00000000;
MEM[189] <= 32'h00000000;
MEM[190] <= 32'h00000000;
MEM[191] <= 32'h00000000;
MEM[192] <= 32'h00000000;
MEM[193] <= 32'h00000000;
MEM[194] <= 32'h00000000;
MEM[195] <= 32'h00000000;
MEM[196] <= 32'h00000000;
MEM[197] <= 32'h00000000;
MEM[198] <= 32'h00000000;
MEM[199] <= 32'h00000000;
MEM[200] <= 32'h00000000;
MEM[201] <= 32'h00000000;
MEM[202] <= 32'h00000000;
MEM[203] <= 32'h00000000;
MEM[204] <= 32'h00000000;
MEM[205] <= 32'h00000000;
MEM[206] <= 32'h00000000;
MEM[207] <= 32'h00000000;
MEM[208] <= 32'h00000000;
MEM[209] <= 32'h00000000;
MEM[210] <= 32'h00000000;
MEM[211] <= 32'h00000000;
MEM[212] <= 32'h00000000;
MEM[213] <= 32'h00000000;
MEM[214] <= 32'h00000000;
MEM[215] <= 32'h00000000;
MEM[216] <= 32'h00000000;
MEM[217] <= 32'h00000000;
MEM[218] <= 32'h00000000;
MEM[219] <= 32'h00000000;
MEM[220] <= 32'h00000000;
MEM[221] <= 32'h00000000;
MEM[222] <= 32'h00000000;
MEM[223] <= 32'h00000000;
MEM[224] <= 32'h00000000;
MEM[225] <= 32'h00000000;
MEM[226] <= 32'h00000000;
MEM[227] <= 32'h00000000;
MEM[228] <= 32'h00000000;
MEM[229] <= 32'h00000000;
MEM[230] <= 32'h00000000;
MEM[231] <= 32'h00000000;
MEM[232] <= 32'h00000000;
MEM[233] <= 32'h00000000;
MEM[234] <= 32'h00000000;
MEM[235] <= 32'h00000000;
MEM[236] <= 32'h00000000;
MEM[237] <= 32'h00000000;
MEM[238] <= 32'h00000000;
MEM[239] <= 32'h00000000;
MEM[240] <= 32'h00000000;
MEM[241] <= 32'h00000000;
MEM[242] <= 32'h00000000;
MEM[243] <= 32'h00000000;
MEM[244] <= 32'h00000000;
MEM[245] <= 32'h00000000;
MEM[246] <= 32'h00000000;
MEM[247] <= 32'h00000000;
MEM[248] <= 32'h00000000;
MEM[249] <= 32'h00000000;
MEM[250] <= 32'h00000000;
MEM[251] <= 32'h00000000;
MEM[252] <= 32'h00000000;
MEM[253] <= 32'h00000000;
MEM[254] <= 32'h00000000;
MEM[255] <= 32'h00000000;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.