OpenCores
URL https://opencores.org/ocsvn/m1_core/m1_core/trunk

Subversion Repositories m1_core

[/] [m1_core/] [trunk/] [tests/] [verif/] [j.c] - Rev 58

Go to most recent revision | Compare with Previous | Blame | View Log

int main() {                 
  int a = 5;   //r3
  int b = 7;
  int c = a+b;	
  asm(".long 0x08000013 ");    // = j 4c ; 13 va shiftato a sinistra di 2 posizioni per ottenere 4c ; 0x08000013 = 0000_1000_0000_0000_0000_0000_0001_0011
  asm("nop");
  asm("MTHI $3");
  asm("NOR $5, $2 ,$3");
  asm("sotto:");
	return 0;
}
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.