OpenCores
URL https://opencores.org/ocsvn/mcip_open/mcip_open/trunk

Subversion Repositories mcip_open

[/] [mcip_open/] [trunk/] [MCIPopen_XilinxISEproject/] [iseconfig/] [MCIPopen.projectmgr] - Rev 4

Compare with Previous | Blame | View Log

<?xml version='1.0' encoding='utf-8'?>
<!--This is an ISE project configuration file.-->
<!--It holds project specific layout data for the projectmgr plugin.-->
<!--Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.-->
<Project version="2" owner="projectmgr" name="MCIPopen" >
   <!--This is an ISE project configuration file.-->
   <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
      <ClosedNodes>
         <ClosedNodesVersion>2</ClosedNodesVersion>
         <ClosedNode>/IP_Basic_Core - mapping D:|Doctorat|Projets VHDL|MCIPopen|IP_Core.vhd/CPU_block - CPU - CPUnit</ClosedNode>
         <ClosedNode>/IP_Basic_Core - mapping D:|Doctorat|Projets VHDL|MCIPopen|IP_Core.vhd/RPW_block - RPW - Behavioral</ClosedNode>
         <ClosedNode>/MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit</ClosedNode>
         <ClosedNode>/MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit/InstructionDecoder - Instruction_Decoder - Decode_Control</ClosedNode>
         <ClosedNode>/MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit/OperationUnit - Operation_Unit - Behavioral</ClosedNode>
         <ClosedNode>/MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit/OperationUnit - Operation_Unit - Calcul/ALUnit - ALU - simple</ClosedNode>
         <ClosedNode>/MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen.vhd/CPU_block - CPU - CPUnit/PC - Program_Counter - Behavioral</ClosedNode>
         <ClosedNode>/MCIPopen_mcu_example - Behavioral D:|Doctorat|Projets VHDL|MCIPopen|MCIPopen_mcu_example.vhd/MCIPcore - MCIPopen - mapping</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>MCIPopen_mcu_example - Behavioral (D:/Doctorat/Projets VHDL/MCIPopen/MCIPopen_mcu_example.vhd)</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000029d000000020000000000000000000000000200000064ffffffff0000008100000003000000020000029d0000000100000003000000000000000100000003</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
      <CurrentItem>MCIPopen_mcu_example - Behavioral (D:/Doctorat/Projets VHDL/MCIPopen/MCIPopen_mcu_example.vhd)</CurrentItem>
   </ItemView>
   <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
      <ClosedNodes>
         <ClosedNodesVersion>1</ClosedNodesVersion>
         <ClosedNode>Design Utilities</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem></SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem></CurrentItem>
   </ItemView>
   <ItemView guiview="File" >
      <ClosedNodes>
         <ClosedNodesVersion>1</ClosedNodesVersion>
      </ClosedNodes>
      <SelectedItems/>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000000000000001000000000000000000000000000000000000027d000000040101000100000000000000000000000064ffffffff000000810000000000000004000000aa0000000100000000000000240000000100000000000000660000000100000000000001490000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>Address_Provider.vhd</CurrentItem>
   </ItemView>
   <ItemView guiview="Library" >
      <ClosedNodes>
         <ClosedNodesVersion>1</ClosedNodesVersion>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>Use_Pack.vhd</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >8</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000000000000000100000000000000000000000000000000000000f8000000010001000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>Use_Pack.vhd</CurrentItem>
   </ItemView>
   <SourceProcessView>000000ff0000000000000002000000f2000000ae01000000050100000002</SourceProcessView>
   <CurrentView>Implementation</CurrentView>
   <ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
      <ClosedNodes>
         <ClosedNodesVersion>1</ClosedNodesVersion>
         <ClosedNode>Configure Target Device</ClosedNode>
         <ClosedNode>Design Utilities</ClosedNode>
         <ClosedNode>Implement Design</ClosedNode>
         <ClosedNode>User Constraints</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>Synthesize - XST</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000e7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e70000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>Synthesize - XST</CurrentItem>
   </ItemView>
   <ItemView engineview="BehavioralSim" guiview="Source" compilemode="AutoCompile" >
      <ClosedNodes>
         <ClosedNodesVersion>2</ClosedNodesVersion>
         <ClosedNode>/MCIPopen - mapping D:|Doctorat|Projets VHDL|MCIPopen|IP_Core.vhd</ClosedNode>
         <ClosedNode>/tb_PORTs - behavior D:|Doctorat|Projets VHDL|MCIPopen|tb_PORTs.vhd</ClosedNode>
         <ClosedNode>/tb_testOldMCIP - behavior D:|Doctorat|Projets VHDL|MCIPopen|tb_testOldMCIP.vhd/uut - IP_Basic_Core - mapping</ClosedNode>
         <ClosedNode>/tb_testOldMCIP - behavior D:|Doctorat|Projets VHDL|MCIPopen|tb_testOldMCIP.vhd/uut - MCIPopen - mapping/CPU_block - CPU - CPUnit</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>tb_PLL - behavior (D:/Doctorat/Projets VHDL/MCIPopen/tb_PLL.vhd)</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000017b000000020000000000000000000000000200000064ffffffff0000008100000003000000020000017b0000000100000003000000000000000100000003</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
      <CurrentItem>tb_PLL - behavior (D:/Doctorat/Projets VHDL/MCIPopen/tb_PLL.vhd)</CurrentItem>
   </ItemView>
   <ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
      <ClosedNodes>
         <ClosedNodesVersion>1</ClosedNodesVersion>
         <ClosedNode>Design Utilities</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem/>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem/>
   </ItemView>
   <ItemView engineview="BehavioralSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
      <ClosedNodes>
         <ClosedNodesVersion>1</ClosedNodesVersion>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>Behavioral Check Syntax</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>Behavioral Check Syntax</CurrentItem>
   </ItemView>
</Project>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.