OpenCores
URL https://opencores.org/ocsvn/mips789/mips789/trunk

Subversion Repositories mips789

[/] [mips789/] [branches/] [avendor/] [synplify_prj/] [rev_1/] [mips_sys.vqm] - Rev 51

Compare with Previous | Blame | View Log

//
// Written by Synplify
// Synplify 8.1.0, Build 539R.
// Tue Nov 18 02:38:13 2008
//
// Source file index table:
// Object locations will have the form <file>:<line>
// file 0 "noname"
// file 1 "\c:\program files\synplicity\fpga_81\lib\altera\altera.v "
// file 2 "\c:\program files\synplicity\fpga_81\lib\altera\cyclone.v "
// file 3 "\c:\program files\synplicity\fpga_81\lib\altera\altera_mf.v "
// file 4 "\c:\program files\synplicity\fpga_81\lib\altera\altera_lpm.v "
// file 5 "\e:\mips789\rtl\verilog\exec_stage.v "
// file 6 "\e:\mips789\rtl\verilog\mips789_defs.v "
// file 7 "\e:\mips789\rtl\verilog\rf_components.v "
// file 8 "\e:\mips789\rtl\verilog\rf_stage.v "
// file 9 "\e:\mips789\rtl\verilog\ctl_fsm.v "
// file 10 "\e:\mips789\rtl\verilog\decode_pipe.v "
// file 11 "\e:\mips789\rtl\verilog\dvc.v "
// file 12 "\e:\mips789\rtl\verilog\forward.v "
// file 13 "\e:\mips789\rtl\verilog\mem_module.v "
// file 14 "\e:\mips789\rtl\verilog\mips_core.v "
// file 15 "\e:\mips789\rtl\verilog\mips_dvc.v "
// file 16 "\e:\mips789\rtl\verilog\mips_sys.v "
// file 17 "\e:\mips789\rtl\verilog\mips_uart.v "
// file 18 "\e:\mips789\rtl\verilog\ulit.v "
// file 19 "\e:\mips789\rtl\verilog\altera\fifo512_cyclone.v "

// VQM4.1+ 
module infile_dmem_ctl_reg (
  c_0,
  dmem_ctl_o_0,
  dmem_ctl_o_1,
  dmem_ctl_o_2,
  dmem_ctl_o_3,
  ctl_o_0,
  ctl_o_1,
  ctl_o_2,
  ctl_o_3,
  clk_c
);
input c_0 ;
input dmem_ctl_o_0 ;
input dmem_ctl_o_1 ;
input dmem_ctl_o_2 ;
input dmem_ctl_o_3 ;
output ctl_o_0 ;
output ctl_o_1 ;
output ctl_o_2 ;
output ctl_o_3 ;
input clk_c ;
wire c_0 ;
wire dmem_ctl_o_0 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_2 ;
wire dmem_ctl_o_3 ;
wire ctl_o_0 ;
wire ctl_o_1 ;
wire ctl_o_2 ;
wire ctl_o_3 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @13:102
  cyclone_lcell ctl_o_3__Z (
        .regout(ctl_o_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(dmem_ctl_o_3),
        .datad(c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ctl_o_3__Z.operation_mode="normal";
defparam ctl_o_3__Z.output_mode="reg_only";
defparam ctl_o_3__Z.lut_mask="00f0";
defparam ctl_o_3__Z.synch_mode="off";
defparam ctl_o_3__Z.sum_lutc_input="datac";
// @13:102
  cyclone_lcell ctl_o_2__Z (
        .regout(ctl_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(dmem_ctl_o_2),
        .datad(c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ctl_o_2__Z.operation_mode="normal";
defparam ctl_o_2__Z.output_mode="reg_only";
defparam ctl_o_2__Z.lut_mask="00f0";
defparam ctl_o_2__Z.synch_mode="off";
defparam ctl_o_2__Z.sum_lutc_input="datac";
// @13:102
  cyclone_lcell ctl_o_1__Z (
        .regout(ctl_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(dmem_ctl_o_1),
        .datad(c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ctl_o_1__Z.operation_mode="normal";
defparam ctl_o_1__Z.output_mode="reg_only";
defparam ctl_o_1__Z.lut_mask="00f0";
defparam ctl_o_1__Z.synch_mode="off";
defparam ctl_o_1__Z.sum_lutc_input="datac";
// @13:102
  cyclone_lcell ctl_o_0__Z (
        .regout(ctl_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(dmem_ctl_o_0),
        .datad(c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ctl_o_0__Z.operation_mode="normal";
defparam ctl_o_0__Z.output_mode="reg_only";
defparam ctl_o_0__Z.lut_mask="00f0";
defparam ctl_o_0__Z.synch_mode="off";
defparam ctl_o_0__Z.sum_lutc_input="datac";
endmodule /* infile_dmem_ctl_reg */

// VQM4.1+ 
module mem_addr_ctl (
  c_0,
  c_1,
  dmem_ctl_o_1,
  dmem_ctl_o_2,
  dmem_ctl_o_0,
  dmem_ctl_o_3,
  wr_en_0_0_2,
  wr_en_0_0_1,
  wr_en_0_0_0,
  wr_en_0_0_3
);
input c_0 ;
input c_1 ;
input dmem_ctl_o_1 ;
input dmem_ctl_o_2 ;
input dmem_ctl_o_0 ;
input dmem_ctl_o_3 ;
output wr_en_0_0_2 ;
output wr_en_0_0_1 ;
output wr_en_0_0_0 ;
output wr_en_0_0_3 ;
wire c_0 ;
wire c_1 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_2 ;
wire dmem_ctl_o_0 ;
wire dmem_ctl_o_3 ;
wire wr_en_0_0_2 ;
wire wr_en_0_0_1 ;
wire wr_en_0_0_0 ;
wire wr_en_0_0_3 ;
wire [3:0] wr_en_0_0_a;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @13:116
  cyclone_lcell wr_en_0_0_3_ (
        .combout(wr_en_0_0_3),
        .dataa(dmem_ctl_o_3),
        .datab(dmem_ctl_o_0),
        .datac(wr_en_0_0_a[3]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_en_0_0_3_.operation_mode="normal";
defparam wr_en_0_0_3_.output_mode="comb_only";
defparam wr_en_0_0_3_.lut_mask="4040";
defparam wr_en_0_0_3_.synch_mode="off";
defparam wr_en_0_0_3_.sum_lutc_input="datac";
// @13:116
  cyclone_lcell wr_en_0_0_a_3_ (
        .combout(wr_en_0_0_a[3]),
        .dataa(dmem_ctl_o_2),
        .datab(dmem_ctl_o_1),
        .datac(c_1),
        .datad(c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_en_0_0_a_3_.operation_mode="normal";
defparam wr_en_0_0_a_3_.output_mode="comb_only";
defparam wr_en_0_0_a_3_.lut_mask="222b";
defparam wr_en_0_0_a_3_.synch_mode="off";
defparam wr_en_0_0_a_3_.sum_lutc_input="datac";
// @13:116
  cyclone_lcell wr_en_0_0_0_ (
        .combout(wr_en_0_0_0),
        .dataa(dmem_ctl_o_3),
        .datab(dmem_ctl_o_0),
        .datac(wr_en_0_0_a[0]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_en_0_0_0_.operation_mode="normal";
defparam wr_en_0_0_0_.output_mode="comb_only";
defparam wr_en_0_0_0_.lut_mask="4040";
defparam wr_en_0_0_0_.synch_mode="off";
defparam wr_en_0_0_0_.sum_lutc_input="datac";
// @13:116
  cyclone_lcell wr_en_0_0_a_0_ (
        .combout(wr_en_0_0_a[0]),
        .dataa(dmem_ctl_o_2),
        .datab(dmem_ctl_o_1),
        .datac(c_1),
        .datad(c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_en_0_0_a_0_.operation_mode="normal";
defparam wr_en_0_0_a_0_.output_mode="comb_only";
defparam wr_en_0_0_a_0_.lut_mask="32a2";
defparam wr_en_0_0_a_0_.synch_mode="off";
defparam wr_en_0_0_a_0_.sum_lutc_input="datac";
// @13:116
  cyclone_lcell wr_en_0_0_1_ (
        .combout(wr_en_0_0_1),
        .dataa(dmem_ctl_o_3),
        .datab(dmem_ctl_o_0),
        .datac(wr_en_0_0_a[1]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_en_0_0_1_.operation_mode="normal";
defparam wr_en_0_0_1_.output_mode="comb_only";
defparam wr_en_0_0_1_.lut_mask="4040";
defparam wr_en_0_0_1_.synch_mode="off";
defparam wr_en_0_0_1_.sum_lutc_input="datac";
// @13:116
  cyclone_lcell wr_en_0_0_a_1_ (
        .combout(wr_en_0_0_a[1]),
        .dataa(dmem_ctl_o_2),
        .datab(dmem_ctl_o_1),
        .datac(c_1),
        .datad(c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_en_0_0_a_1_.operation_mode="normal";
defparam wr_en_0_0_a_1_.output_mode="comb_only";
defparam wr_en_0_0_a_1_.lut_mask="22b2";
defparam wr_en_0_0_a_1_.synch_mode="off";
defparam wr_en_0_0_a_1_.sum_lutc_input="datac";
// @13:116
  cyclone_lcell wr_en_0_0_2_ (
        .combout(wr_en_0_0_2),
        .dataa(dmem_ctl_o_3),
        .datab(dmem_ctl_o_0),
        .datac(wr_en_0_0_a[2]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_en_0_0_2_.operation_mode="normal";
defparam wr_en_0_0_2_.output_mode="comb_only";
defparam wr_en_0_0_2_.lut_mask="4040";
defparam wr_en_0_0_2_.synch_mode="off";
defparam wr_en_0_0_2_.sum_lutc_input="datac";
// @13:116
  cyclone_lcell wr_en_0_0_a_2_ (
        .combout(wr_en_0_0_a[2]),
        .dataa(dmem_ctl_o_2),
        .datab(dmem_ctl_o_1),
        .datac(c_1),
        .datad(c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_en_0_0_a_2_.operation_mode="normal";
defparam wr_en_0_0_a_2_.output_mode="comb_only";
defparam wr_en_0_0_a_2_.lut_mask="232a";
defparam wr_en_0_0_a_2_.synch_mode="off";
defparam wr_en_0_0_a_2_.sum_lutc_input="datac";
endmodule /* mem_addr_ctl */

// VQM4.1+ 
module mem_din_ctl (
  dout_1_0,
  dout_1_1,
  dout_1_2,
  dout_1_3,
  dout_1_4,
  dout_1_5,
  dout_1_6,
  dout_1_7,
  dmem_ctl_o_1,
  dmem_ctl_o_2,
  dmem_ctl_o_0,
  dmem_ctl_o_3,
  dout_1_2_7,
  dout_1_2_6,
  dout_1_2_5,
  dout_1_2_4,
  dout_1_2_3,
  dout_1_2_2,
  dout_1_2_1,
  dout_1_2_0,
  dout_2_16,
  dout_2_17,
  dout_2_18,
  dout_2_19,
  dout_2_20,
  dout_2_21,
  dout_2_22,
  dout_2_23,
  dout_2_24,
  dout_2_25,
  dout_2_26,
  dout_2_27,
  dout_2_28,
  dout_2_29,
  dout_2_30,
  dout_2_31,
  dout_2_7,
  dout_2_15,
  dout_2_6,
  dout_2_14,
  dout_2_5,
  dout_2_13,
  dout_2_4,
  dout_2_12,
  dout_2_3,
  dout_2_11,
  dout_2_2,
  dout_2_10,
  dout_2_1,
  dout_2_9,
  dout_2_0,
  dout_2_8,
  dout_1_x_7,
  dout_1_x_6,
  dout_1_x_5,
  dout_1_x_4,
  dout_1_x_3,
  dout_1_x_2,
  dout_1_x_1,
  dout_1_x_0
);
output dout_1_0 ;
output dout_1_1 ;
output dout_1_2 ;
output dout_1_3 ;
output dout_1_4 ;
output dout_1_5 ;
output dout_1_6 ;
output dout_1_7 ;
input dmem_ctl_o_1 ;
input dmem_ctl_o_2 ;
input dmem_ctl_o_0 ;
input dmem_ctl_o_3 ;
output dout_1_2_7 ;
output dout_1_2_6 ;
output dout_1_2_5 ;
output dout_1_2_4 ;
output dout_1_2_3 ;
output dout_1_2_2 ;
output dout_1_2_1 ;
output dout_1_2_0 ;
input dout_2_16 ;
input dout_2_17 ;
input dout_2_18 ;
input dout_2_19 ;
input dout_2_20 ;
input dout_2_21 ;
input dout_2_22 ;
input dout_2_23 ;
input dout_2_24 ;
input dout_2_25 ;
input dout_2_26 ;
input dout_2_27 ;
input dout_2_28 ;
input dout_2_29 ;
input dout_2_30 ;
input dout_2_31 ;
input dout_2_7 ;
input dout_2_15 ;
input dout_2_6 ;
input dout_2_14 ;
input dout_2_5 ;
input dout_2_13 ;
input dout_2_4 ;
input dout_2_12 ;
input dout_2_3 ;
input dout_2_11 ;
input dout_2_2 ;
input dout_2_10 ;
input dout_2_1 ;
input dout_2_9 ;
input dout_2_0 ;
input dout_2_8 ;
output dout_1_x_7 ;
output dout_1_x_6 ;
output dout_1_x_5 ;
output dout_1_x_4 ;
output dout_1_x_3 ;
output dout_1_x_2 ;
output dout_1_x_1 ;
output dout_1_x_0 ;
wire dout_1_0 ;
wire dout_1_1 ;
wire dout_1_2 ;
wire dout_1_3 ;
wire dout_1_4 ;
wire dout_1_5 ;
wire dout_1_6 ;
wire dout_1_7 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_2 ;
wire dmem_ctl_o_0 ;
wire dmem_ctl_o_3 ;
wire dout_1_2_7 ;
wire dout_1_2_6 ;
wire dout_1_2_5 ;
wire dout_1_2_4 ;
wire dout_1_2_3 ;
wire dout_1_2_2 ;
wire dout_1_2_1 ;
wire dout_1_2_0 ;
wire dout_2_16 ;
wire dout_2_17 ;
wire dout_2_18 ;
wire dout_2_19 ;
wire dout_2_20 ;
wire dout_2_21 ;
wire dout_2_22 ;
wire dout_2_23 ;
wire dout_2_24 ;
wire dout_2_25 ;
wire dout_2_26 ;
wire dout_2_27 ;
wire dout_2_28 ;
wire dout_2_29 ;
wire dout_2_30 ;
wire dout_2_31 ;
wire dout_2_7 ;
wire dout_2_15 ;
wire dout_2_6 ;
wire dout_2_14 ;
wire dout_2_5 ;
wire dout_2_13 ;
wire dout_2_4 ;
wire dout_2_12 ;
wire dout_2_3 ;
wire dout_2_11 ;
wire dout_2_2 ;
wire dout_2_10 ;
wire dout_2_1 ;
wire dout_2_9 ;
wire dout_2_0 ;
wire dout_2_8 ;
wire dout_1_x_7 ;
wire dout_1_x_6 ;
wire dout_1_x_5 ;
wire dout_1_x_4 ;
wire dout_1_x_3 ;
wire dout_1_x_2 ;
wire dout_1_x_1 ;
wire dout_1_x_0 ;
wire [31:24] dout_1_2_a_x;
wire dout21 ;
wire dout22 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @13:225
  cyclone_lcell dout_1_x_8_ (
        .combout(dout_1_x_0),
        .dataa(dout21),
        .datab(dout_2_8),
        .datac(dout_2_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_x_8_.operation_mode="normal";
defparam dout_1_x_8_.output_mode="comb_only";
defparam dout_1_x_8_.lut_mask="e4e4";
defparam dout_1_x_8_.synch_mode="off";
defparam dout_1_x_8_.sum_lutc_input="datac";
// @13:225
  cyclone_lcell dout_1_x_9_ (
        .combout(dout_1_x_1),
        .dataa(dout21),
        .datab(dout_2_9),
        .datac(dout_2_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_x_9_.operation_mode="normal";
defparam dout_1_x_9_.output_mode="comb_only";
defparam dout_1_x_9_.lut_mask="e4e4";
defparam dout_1_x_9_.synch_mode="off";
defparam dout_1_x_9_.sum_lutc_input="datac";
// @13:225
  cyclone_lcell dout_1_x_10_ (
        .combout(dout_1_x_2),
        .dataa(dout21),
        .datab(dout_2_10),
        .datac(dout_2_2),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_x_10_.operation_mode="normal";
defparam dout_1_x_10_.output_mode="comb_only";
defparam dout_1_x_10_.lut_mask="e4e4";
defparam dout_1_x_10_.synch_mode="off";
defparam dout_1_x_10_.sum_lutc_input="datac";
// @13:225
  cyclone_lcell dout_1_x_11_ (
        .combout(dout_1_x_3),
        .dataa(dout21),
        .datab(dout_2_11),
        .datac(dout_2_3),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_x_11_.operation_mode="normal";
defparam dout_1_x_11_.output_mode="comb_only";
defparam dout_1_x_11_.lut_mask="e4e4";
defparam dout_1_x_11_.synch_mode="off";
defparam dout_1_x_11_.sum_lutc_input="datac";
// @13:225
  cyclone_lcell dout_1_x_12_ (
        .combout(dout_1_x_4),
        .dataa(dout21),
        .datab(dout_2_12),
        .datac(dout_2_4),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_x_12_.operation_mode="normal";
defparam dout_1_x_12_.output_mode="comb_only";
defparam dout_1_x_12_.lut_mask="e4e4";
defparam dout_1_x_12_.synch_mode="off";
defparam dout_1_x_12_.sum_lutc_input="datac";
// @13:225
  cyclone_lcell dout_1_x_13_ (
        .combout(dout_1_x_5),
        .dataa(dout21),
        .datab(dout_2_13),
        .datac(dout_2_5),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_x_13_.operation_mode="normal";
defparam dout_1_x_13_.output_mode="comb_only";
defparam dout_1_x_13_.lut_mask="e4e4";
defparam dout_1_x_13_.synch_mode="off";
defparam dout_1_x_13_.sum_lutc_input="datac";
// @13:225
  cyclone_lcell dout_1_x_14_ (
        .combout(dout_1_x_6),
        .dataa(dout21),
        .datab(dout_2_14),
        .datac(dout_2_6),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_x_14_.operation_mode="normal";
defparam dout_1_x_14_.output_mode="comb_only";
defparam dout_1_x_14_.lut_mask="e4e4";
defparam dout_1_x_14_.synch_mode="off";
defparam dout_1_x_14_.sum_lutc_input="datac";
// @13:225
  cyclone_lcell dout_1_x_15_ (
        .combout(dout_1_x_7),
        .dataa(dout21),
        .datab(dout_2_15),
        .datac(dout_2_7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_x_15_.operation_mode="normal";
defparam dout_1_x_15_.output_mode="comb_only";
defparam dout_1_x_15_.lut_mask="e4e4";
defparam dout_1_x_15_.synch_mode="off";
defparam dout_1_x_15_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_a_x_31_ (
        .combout(dout_1_2_a_x[31]),
        .dataa(dout22),
        .datab(dout_2_31),
        .datac(dout_2_15),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_a_x_31_.operation_mode="normal";
defparam dout_1_2_a_x_31_.output_mode="comb_only";
defparam dout_1_2_a_x_31_.lut_mask="1b1b";
defparam dout_1_2_a_x_31_.synch_mode="off";
defparam dout_1_2_a_x_31_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_a_x_30_ (
        .combout(dout_1_2_a_x[30]),
        .dataa(dout22),
        .datab(dout_2_30),
        .datac(dout_2_14),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_a_x_30_.operation_mode="normal";
defparam dout_1_2_a_x_30_.output_mode="comb_only";
defparam dout_1_2_a_x_30_.lut_mask="1b1b";
defparam dout_1_2_a_x_30_.synch_mode="off";
defparam dout_1_2_a_x_30_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_a_x_29_ (
        .combout(dout_1_2_a_x[29]),
        .dataa(dout22),
        .datab(dout_2_29),
        .datac(dout_2_13),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_a_x_29_.operation_mode="normal";
defparam dout_1_2_a_x_29_.output_mode="comb_only";
defparam dout_1_2_a_x_29_.lut_mask="1b1b";
defparam dout_1_2_a_x_29_.synch_mode="off";
defparam dout_1_2_a_x_29_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_a_x_28_ (
        .combout(dout_1_2_a_x[28]),
        .dataa(dout22),
        .datab(dout_2_28),
        .datac(dout_2_12),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_a_x_28_.operation_mode="normal";
defparam dout_1_2_a_x_28_.output_mode="comb_only";
defparam dout_1_2_a_x_28_.lut_mask="1b1b";
defparam dout_1_2_a_x_28_.synch_mode="off";
defparam dout_1_2_a_x_28_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_a_x_27_ (
        .combout(dout_1_2_a_x[27]),
        .dataa(dout22),
        .datab(dout_2_27),
        .datac(dout_2_11),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_a_x_27_.operation_mode="normal";
defparam dout_1_2_a_x_27_.output_mode="comb_only";
defparam dout_1_2_a_x_27_.lut_mask="1b1b";
defparam dout_1_2_a_x_27_.synch_mode="off";
defparam dout_1_2_a_x_27_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_a_x_26_ (
        .combout(dout_1_2_a_x[26]),
        .dataa(dout22),
        .datab(dout_2_26),
        .datac(dout_2_10),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_a_x_26_.operation_mode="normal";
defparam dout_1_2_a_x_26_.output_mode="comb_only";
defparam dout_1_2_a_x_26_.lut_mask="1b1b";
defparam dout_1_2_a_x_26_.synch_mode="off";
defparam dout_1_2_a_x_26_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_a_x_25_ (
        .combout(dout_1_2_a_x[25]),
        .dataa(dout22),
        .datab(dout_2_25),
        .datac(dout_2_9),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_a_x_25_.operation_mode="normal";
defparam dout_1_2_a_x_25_.output_mode="comb_only";
defparam dout_1_2_a_x_25_.lut_mask="1b1b";
defparam dout_1_2_a_x_25_.synch_mode="off";
defparam dout_1_2_a_x_25_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_a_x_24_ (
        .combout(dout_1_2_a_x[24]),
        .dataa(dout22),
        .datab(dout_2_24),
        .datac(dout_2_8),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_a_x_24_.operation_mode="normal";
defparam dout_1_2_a_x_24_.output_mode="comb_only";
defparam dout_1_2_a_x_24_.lut_mask="1b1b";
defparam dout_1_2_a_x_24_.synch_mode="off";
defparam dout_1_2_a_x_24_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_24_ (
        .combout(dout_1_2_0),
        .dataa(dout22),
        .datab(dout21),
        .datac(dout_2_0),
        .datad(dout_1_2_a_x[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_24_.operation_mode="normal";
defparam dout_1_2_24_.output_mode="comb_only";
defparam dout_1_2_24_.lut_mask="40fb";
defparam dout_1_2_24_.synch_mode="off";
defparam dout_1_2_24_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_25_ (
        .combout(dout_1_2_1),
        .dataa(dout22),
        .datab(dout21),
        .datac(dout_2_1),
        .datad(dout_1_2_a_x[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_25_.operation_mode="normal";
defparam dout_1_2_25_.output_mode="comb_only";
defparam dout_1_2_25_.lut_mask="40fb";
defparam dout_1_2_25_.synch_mode="off";
defparam dout_1_2_25_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_26_ (
        .combout(dout_1_2_2),
        .dataa(dout22),
        .datab(dout21),
        .datac(dout_2_2),
        .datad(dout_1_2_a_x[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_26_.operation_mode="normal";
defparam dout_1_2_26_.output_mode="comb_only";
defparam dout_1_2_26_.lut_mask="40fb";
defparam dout_1_2_26_.synch_mode="off";
defparam dout_1_2_26_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_27_ (
        .combout(dout_1_2_3),
        .dataa(dout22),
        .datab(dout21),
        .datac(dout_2_3),
        .datad(dout_1_2_a_x[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_27_.operation_mode="normal";
defparam dout_1_2_27_.output_mode="comb_only";
defparam dout_1_2_27_.lut_mask="40fb";
defparam dout_1_2_27_.synch_mode="off";
defparam dout_1_2_27_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_28_ (
        .combout(dout_1_2_4),
        .dataa(dout22),
        .datab(dout21),
        .datac(dout_2_4),
        .datad(dout_1_2_a_x[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_28_.operation_mode="normal";
defparam dout_1_2_28_.output_mode="comb_only";
defparam dout_1_2_28_.lut_mask="40fb";
defparam dout_1_2_28_.synch_mode="off";
defparam dout_1_2_28_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_29_ (
        .combout(dout_1_2_5),
        .dataa(dout22),
        .datab(dout21),
        .datac(dout_2_5),
        .datad(dout_1_2_a_x[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_29_.operation_mode="normal";
defparam dout_1_2_29_.output_mode="comb_only";
defparam dout_1_2_29_.lut_mask="40fb";
defparam dout_1_2_29_.synch_mode="off";
defparam dout_1_2_29_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_30_ (
        .combout(dout_1_2_6),
        .dataa(dout22),
        .datab(dout21),
        .datac(dout_2_6),
        .datad(dout_1_2_a_x[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_30_.operation_mode="normal";
defparam dout_1_2_30_.output_mode="comb_only";
defparam dout_1_2_30_.lut_mask="40fb";
defparam dout_1_2_30_.synch_mode="off";
defparam dout_1_2_30_.sum_lutc_input="datac";
// @13:224
  cyclone_lcell dout_1_2_31_ (
        .combout(dout_1_2_7),
        .dataa(dout22),
        .datab(dout21),
        .datac(dout_2_7),
        .datad(dout_1_2_a_x[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_2_31_.operation_mode="normal";
defparam dout_1_2_31_.output_mode="comb_only";
defparam dout_1_2_31_.lut_mask="40fb";
defparam dout_1_2_31_.synch_mode="off";
defparam dout_1_2_31_.sum_lutc_input="datac";
// @13:225
  cyclone_lcell dout21_cZ (
        .combout(dout21),
        .dataa(dmem_ctl_o_3),
        .datab(dmem_ctl_o_0),
        .datac(dmem_ctl_o_2),
        .datad(dmem_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout21_cZ.operation_mode="normal";
defparam dout21_cZ.output_mode="comb_only";
defparam dout21_cZ.lut_mask="0004";
defparam dout21_cZ.synch_mode="off";
defparam dout21_cZ.sum_lutc_input="datac";
// @13:227
  cyclone_lcell dout22_cZ (
        .combout(dout22),
        .dataa(dmem_ctl_o_3),
        .datab(dmem_ctl_o_0),
        .datac(dmem_ctl_o_2),
        .datad(dmem_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout22_cZ.operation_mode="normal";
defparam dout22_cZ.output_mode="comb_only";
defparam dout22_cZ.lut_mask="4000";
defparam dout22_cZ.synch_mode="off";
defparam dout22_cZ.sum_lutc_input="datac";
// @13:1
  cyclone_lcell dout_1_23_ (
        .combout(dout_1_7),
        .dataa(dout21),
        .datab(dout22),
        .datac(dout_2_23),
        .datad(dout_2_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_23_.operation_mode="normal";
defparam dout_1_23_.output_mode="comb_only";
defparam dout_1_23_.lut_mask="fe10";
defparam dout_1_23_.synch_mode="off";
defparam dout_1_23_.sum_lutc_input="datac";
// @13:1
  cyclone_lcell dout_1_22_ (
        .combout(dout_1_6),
        .dataa(dout21),
        .datab(dout22),
        .datac(dout_2_22),
        .datad(dout_2_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_22_.operation_mode="normal";
defparam dout_1_22_.output_mode="comb_only";
defparam dout_1_22_.lut_mask="fe10";
defparam dout_1_22_.synch_mode="off";
defparam dout_1_22_.sum_lutc_input="datac";
// @13:1
  cyclone_lcell dout_1_21_ (
        .combout(dout_1_5),
        .dataa(dout21),
        .datab(dout22),
        .datac(dout_2_21),
        .datad(dout_2_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_21_.operation_mode="normal";
defparam dout_1_21_.output_mode="comb_only";
defparam dout_1_21_.lut_mask="fe10";
defparam dout_1_21_.synch_mode="off";
defparam dout_1_21_.sum_lutc_input="datac";
// @13:1
  cyclone_lcell dout_1_20_ (
        .combout(dout_1_4),
        .dataa(dout21),
        .datab(dout22),
        .datac(dout_2_20),
        .datad(dout_2_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_20_.operation_mode="normal";
defparam dout_1_20_.output_mode="comb_only";
defparam dout_1_20_.lut_mask="fe10";
defparam dout_1_20_.synch_mode="off";
defparam dout_1_20_.sum_lutc_input="datac";
// @13:1
  cyclone_lcell dout_1_19_ (
        .combout(dout_1_3),
        .dataa(dout21),
        .datab(dout22),
        .datac(dout_2_19),
        .datad(dout_2_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_19_.operation_mode="normal";
defparam dout_1_19_.output_mode="comb_only";
defparam dout_1_19_.lut_mask="fe10";
defparam dout_1_19_.synch_mode="off";
defparam dout_1_19_.sum_lutc_input="datac";
// @13:1
  cyclone_lcell dout_1_18_ (
        .combout(dout_1_2),
        .dataa(dout21),
        .datab(dout22),
        .datac(dout_2_18),
        .datad(dout_2_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_18_.operation_mode="normal";
defparam dout_1_18_.output_mode="comb_only";
defparam dout_1_18_.lut_mask="fe10";
defparam dout_1_18_.synch_mode="off";
defparam dout_1_18_.sum_lutc_input="datac";
// @13:1
  cyclone_lcell dout_1_17_ (
        .combout(dout_1_1),
        .dataa(dout21),
        .datab(dout22),
        .datac(dout_2_17),
        .datad(dout_2_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_17_.operation_mode="normal";
defparam dout_1_17_.output_mode="comb_only";
defparam dout_1_17_.lut_mask="fe10";
defparam dout_1_17_.synch_mode="off";
defparam dout_1_17_.sum_lutc_input="datac";
// @13:1
  cyclone_lcell dout_1_16_ (
        .combout(dout_1_0),
        .dataa(dout21),
        .datab(dout22),
        .datac(dout_2_16),
        .datad(dout_2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1_16_.operation_mode="normal";
defparam dout_1_16_.output_mode="comb_only";
defparam dout_1_16_.lut_mask="fe10";
defparam dout_1_16_.synch_mode="off";
defparam dout_1_16_.sum_lutc_input="datac";
endmodule /* mem_din_ctl */

// VQM4.1+ 
module mem_dout_ctl (
  dout_0_a2_2_0,
  dout_0_0_a_3,
  dout_0_0_a_0,
  dout_0_0_a_6,
  dout_0_0_a_5,
  dout_0_0_a_2,
  dout_0_a_0,
  dout_0_a_3,
  dout_0_a2_0_0,
  dout_0_0_a2_2_0,
  dout_i_i_0_0,
  dout_i_i_1_0,
  dout_i_i_1_8,
  dout_i_i_a6_0,
  dout_0_2_0,
  dout_i_i_a_0,
  dout_i_i_a_8,
  dout_0_0_a_x_0,
  dout_0_a_x_4,
  dout_0_a_x_1,
  dout_0_a_x_0,
  dout_i_i_a_x_1,
  dout_i_i_a_x_0,
  dout_i_i_a_x_3,
  ctl_o_3,
  ctl_o_0,
  ctl_o_2,
  ctl_o_1,
  r32_o_0,
  r32_o_1,
  dout_0_a6_x_0,
  dout_0_a6_x_3,
  zz_din_c_27,
  zz_din_c_24,
  zz_din_c_30,
  zz_din_c_29,
  zz_din_c_25,
  zz_din_c_26,
  zz_din_c_28,
  zz_din_c_11,
  zz_din_c_10,
  zz_din_c_14,
  zz_din_c_12,
  zz_din_c_9,
  zz_din_c_8,
  zz_din_c_13,
  zz_din_c_7,
  zz_din_c_23,
  zz_din_c_31,
  zz_din_c_15,
  zz_din_c_1,
  zz_din_c_2,
  zz_din_c_4,
  zz_din_c_5,
  zz_din_c_6,
  zz_din_c_3,
  zz_din_c_0,
  dout_0_0_a6_x_2,
  dout_0_0_a6_x_5,
  dout_0_0_a6_x_6,
  dout_0_0_a6_x_3,
  dout_0_0_a6_x_0
);
output dout_0_a2_2_0 ;
output dout_0_0_a_3 ;
output dout_0_0_a_0 ;
output dout_0_0_a_6 ;
output dout_0_0_a_5 ;
output dout_0_0_a_2 ;
output dout_0_a_0 ;
output dout_0_a_3 ;
output dout_0_a2_0_0 ;
output dout_0_0_a2_2_0 ;
output dout_i_i_0_0 ;
output dout_i_i_1_0 ;
output dout_i_i_1_8 ;
output dout_i_i_a6_0 ;
output dout_0_2_0 ;
output dout_i_i_a_0 ;
output dout_i_i_a_8 ;
output dout_0_0_a_x_0 ;
output dout_0_a_x_4 ;
output dout_0_a_x_1 ;
output dout_0_a_x_0 ;
output dout_i_i_a_x_1 ;
output dout_i_i_a_x_0 ;
output dout_i_i_a_x_3 ;
input ctl_o_3 ;
input ctl_o_0 ;
input ctl_o_2 ;
input ctl_o_1 ;
input r32_o_0 ;
input r32_o_1 ;
output dout_0_a6_x_0 ;
output dout_0_a6_x_3 ;
input zz_din_c_27 ;
input zz_din_c_24 ;
input zz_din_c_30 ;
input zz_din_c_29 ;
input zz_din_c_25 ;
input zz_din_c_26 ;
input zz_din_c_28 ;
input zz_din_c_11 ;
input zz_din_c_10 ;
input zz_din_c_14 ;
input zz_din_c_12 ;
input zz_din_c_9 ;
input zz_din_c_8 ;
input zz_din_c_13 ;
input zz_din_c_7 ;
input zz_din_c_23 ;
input zz_din_c_31 ;
input zz_din_c_15 ;
input zz_din_c_1 ;
input zz_din_c_2 ;
input zz_din_c_4 ;
input zz_din_c_5 ;
input zz_din_c_6 ;
input zz_din_c_3 ;
input zz_din_c_0 ;
output dout_0_0_a6_x_2 ;
output dout_0_0_a6_x_5 ;
output dout_0_0_a6_x_6 ;
output dout_0_0_a6_x_3 ;
output dout_0_0_a6_x_0 ;
wire dout_0_a2_2_0 ;
wire dout_0_0_a_3 ;
wire dout_0_0_a_0 ;
wire dout_0_0_a_6 ;
wire dout_0_0_a_5 ;
wire dout_0_0_a_2 ;
wire dout_0_a_0 ;
wire dout_0_a_3 ;
wire dout_0_a2_0_0 ;
wire dout_0_0_a2_2_0 ;
wire dout_i_i_0_0 ;
wire dout_i_i_1_0 ;
wire dout_i_i_1_8 ;
wire dout_i_i_a6_0 ;
wire dout_0_2_0 ;
wire dout_i_i_a_0 ;
wire dout_i_i_a_8 ;
wire dout_0_0_a_x_0 ;
wire dout_0_a_x_4 ;
wire dout_0_a_x_1 ;
wire dout_0_a_x_0 ;
wire dout_i_i_a_x_1 ;
wire dout_i_i_a_x_0 ;
wire dout_i_i_a_x_3 ;
wire ctl_o_3 ;
wire ctl_o_0 ;
wire ctl_o_2 ;
wire ctl_o_1 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire dout_0_a6_x_0 ;
wire dout_0_a6_x_3 ;
wire zz_din_c_27 ;
wire zz_din_c_24 ;
wire zz_din_c_30 ;
wire zz_din_c_29 ;
wire zz_din_c_25 ;
wire zz_din_c_26 ;
wire zz_din_c_28 ;
wire zz_din_c_11 ;
wire zz_din_c_10 ;
wire zz_din_c_14 ;
wire zz_din_c_12 ;
wire zz_din_c_9 ;
wire zz_din_c_8 ;
wire zz_din_c_13 ;
wire zz_din_c_7 ;
wire zz_din_c_23 ;
wire zz_din_c_31 ;
wire zz_din_c_15 ;
wire zz_din_c_1 ;
wire zz_din_c_2 ;
wire zz_din_c_4 ;
wire zz_din_c_5 ;
wire zz_din_c_6 ;
wire zz_din_c_3 ;
wire zz_din_c_0 ;
wire dout_0_0_a6_x_2 ;
wire dout_0_0_a6_x_5 ;
wire dout_0_0_a6_x_6 ;
wire dout_0_0_a6_x_3 ;
wire dout_0_0_a6_x_0 ;
wire [0:0] dout_0_0_o2;
wire [7:7] dout_0_o2_x;
wire [7:7] dout_0_o2_0_x;
wire [23:23] dout_i_i_a6_3_0_x;
wire [15:15] dout_i_i_a6_2_0_x;
wire [8:8] dout_0_a2;
wire [7:7] dout_0_a2_3;
wire [7:7] dout_0_a6;
wire [7:7] dout_0_2_a;
wire [7:7] dout_0_a6_0_1;
wire [15:15] dout_i_i_a2_0;
wire [16:16] dout_i_i_o2;
wire [23:15] dout_i_i_1_a;
wire [16:16] dout_i_i_o2_a;
wire [15:15] dout_i_i_a6_1;
wire [8:8] dout_0_a2_1;
wire [7:7] dout_0_o2_1;
wire [8:8] dout_0_a2_a;
wire [0:0] dout_0_0_a2_1;
wire [0:0] dout_0_0_a2_0;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @13:177
  cyclone_lcell dout_0_0_a6_x_0_ (
        .combout(dout_0_0_a6_x_0),
        .dataa(zz_din_c_0),
        .datab(dout_0_0_o2[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a6_x_0_.operation_mode="normal";
defparam dout_0_0_a6_x_0_.output_mode="comb_only";
defparam dout_0_0_a6_x_0_.lut_mask="8888";
defparam dout_0_0_a6_x_0_.synch_mode="off";
defparam dout_0_0_a6_x_0_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a6_x_3_ (
        .combout(dout_0_0_a6_x_3),
        .dataa(zz_din_c_3),
        .datab(dout_0_0_o2[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a6_x_3_.operation_mode="normal";
defparam dout_0_0_a6_x_3_.output_mode="comb_only";
defparam dout_0_0_a6_x_3_.lut_mask="8888";
defparam dout_0_0_a6_x_3_.synch_mode="off";
defparam dout_0_0_a6_x_3_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a6_x_6_ (
        .combout(dout_0_0_a6_x_6),
        .dataa(zz_din_c_6),
        .datab(dout_0_0_o2[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a6_x_6_.operation_mode="normal";
defparam dout_0_0_a6_x_6_.output_mode="comb_only";
defparam dout_0_0_a6_x_6_.lut_mask="8888";
defparam dout_0_0_a6_x_6_.synch_mode="off";
defparam dout_0_0_a6_x_6_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a6_x_5_ (
        .combout(dout_0_0_a6_x_5),
        .dataa(zz_din_c_5),
        .datab(dout_0_0_o2[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a6_x_5_.operation_mode="normal";
defparam dout_0_0_a6_x_5_.output_mode="comb_only";
defparam dout_0_0_a6_x_5_.lut_mask="8888";
defparam dout_0_0_a6_x_5_.synch_mode="off";
defparam dout_0_0_a6_x_5_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a6_x_4_ (
        .combout(dout_0_a6_x_3),
        .dataa(zz_din_c_4),
        .datab(dout_0_0_o2[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a6_x_4_.operation_mode="normal";
defparam dout_0_a6_x_4_.output_mode="comb_only";
defparam dout_0_a6_x_4_.lut_mask="8888";
defparam dout_0_a6_x_4_.synch_mode="off";
defparam dout_0_a6_x_4_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a6_x_2_ (
        .combout(dout_0_0_a6_x_2),
        .dataa(zz_din_c_2),
        .datab(dout_0_0_o2[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a6_x_2_.operation_mode="normal";
defparam dout_0_0_a6_x_2_.output_mode="comb_only";
defparam dout_0_0_a6_x_2_.lut_mask="8888";
defparam dout_0_0_a6_x_2_.synch_mode="off";
defparam dout_0_0_a6_x_2_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a6_x_1_ (
        .combout(dout_0_a6_x_0),
        .dataa(zz_din_c_1),
        .datab(dout_0_0_o2[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a6_x_1_.operation_mode="normal";
defparam dout_0_a6_x_1_.output_mode="comb_only";
defparam dout_0_a6_x_1_.lut_mask="8888";
defparam dout_0_a6_x_1_.synch_mode="off";
defparam dout_0_a6_x_1_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_o2_x_7_ (
        .combout(dout_0_o2_x[7]),
        .dataa(zz_din_c_15),
        .datab(zz_din_c_31),
        .datac(r32_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_o2_x_7_.operation_mode="normal";
defparam dout_0_o2_x_7_.output_mode="comb_only";
defparam dout_0_o2_x_7_.lut_mask="acac";
defparam dout_0_o2_x_7_.synch_mode="off";
defparam dout_0_o2_x_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_o2_0_x_7_ (
        .combout(dout_0_o2_0_x[7]),
        .dataa(zz_din_c_23),
        .datab(zz_din_c_7),
        .datac(r32_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_o2_0_x_7_.operation_mode="normal";
defparam dout_0_o2_0_x_7_.output_mode="comb_only";
defparam dout_0_o2_0_x_7_.lut_mask="caca";
defparam dout_0_o2_0_x_7_.synch_mode="off";
defparam dout_0_o2_0_x_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a6_3_0_x_23_ (
        .combout(dout_i_i_a6_3_0_x[23]),
        .dataa(zz_din_c_23),
        .datab(r32_o_1),
        .datac(r32_o_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a6_3_0_x_23_.operation_mode="normal";
defparam dout_i_i_a6_3_0_x_23_.output_mode="comb_only";
defparam dout_i_i_a6_3_0_x_23_.lut_mask="2020";
defparam dout_i_i_a6_3_0_x_23_.synch_mode="off";
defparam dout_i_i_a6_3_0_x_23_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a6_2_0_x_15_ (
        .combout(dout_i_i_a6_2_0_x[15]),
        .dataa(zz_din_c_31),
        .datab(ctl_o_1),
        .datac(r32_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a6_2_0_x_15_.operation_mode="normal";
defparam dout_i_i_a6_2_0_x_15_.output_mode="comb_only";
defparam dout_i_i_a6_2_0_x_15_.lut_mask="0808";
defparam dout_i_i_a6_2_0_x_15_.synch_mode="off";
defparam dout_i_i_a6_2_0_x_15_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a_x_13_ (
        .combout(dout_i_i_a_x_3),
        .dataa(zz_din_c_13),
        .datab(dout_0_a2[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a_x_13_.operation_mode="normal";
defparam dout_i_i_a_x_13_.output_mode="comb_only";
defparam dout_i_i_a_x_13_.lut_mask="7777";
defparam dout_i_i_a_x_13_.synch_mode="off";
defparam dout_i_i_a_x_13_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a_x_8_ (
        .combout(dout_0_a_x_0),
        .dataa(zz_din_c_8),
        .datab(dout_0_a2[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a_x_8_.operation_mode="normal";
defparam dout_0_a_x_8_.output_mode="comb_only";
defparam dout_0_a_x_8_.lut_mask="7777";
defparam dout_0_a_x_8_.synch_mode="off";
defparam dout_0_a_x_8_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a_x_9_ (
        .combout(dout_0_a_x_1),
        .dataa(zz_din_c_9),
        .datab(dout_0_a2[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a_x_9_.operation_mode="normal";
defparam dout_0_a_x_9_.output_mode="comb_only";
defparam dout_0_a_x_9_.lut_mask="7777";
defparam dout_0_a_x_9_.synch_mode="off";
defparam dout_0_a_x_9_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a_x_12_ (
        .combout(dout_0_a_x_4),
        .dataa(zz_din_c_12),
        .datab(dout_0_a2[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a_x_12_.operation_mode="normal";
defparam dout_0_a_x_12_.output_mode="comb_only";
defparam dout_0_a_x_12_.lut_mask="7777";
defparam dout_0_a_x_12_.synch_mode="off";
defparam dout_0_a_x_12_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a_x_14_ (
        .combout(dout_0_0_a_x_0),
        .dataa(zz_din_c_14),
        .datab(dout_0_a2[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a_x_14_.operation_mode="normal";
defparam dout_0_0_a_x_14_.output_mode="comb_only";
defparam dout_0_0_a_x_14_.lut_mask="7777";
defparam dout_0_0_a_x_14_.synch_mode="off";
defparam dout_0_0_a_x_14_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a_x_10_ (
        .combout(dout_i_i_a_x_0),
        .dataa(zz_din_c_10),
        .datab(dout_0_a2[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a_x_10_.operation_mode="normal";
defparam dout_i_i_a_x_10_.output_mode="comb_only";
defparam dout_i_i_a_x_10_.lut_mask="7777";
defparam dout_i_i_a_x_10_.synch_mode="off";
defparam dout_i_i_a_x_10_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a_x_11_ (
        .combout(dout_i_i_a_x_1),
        .dataa(zz_din_c_11),
        .datab(dout_0_a2[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a_x_11_.operation_mode="normal";
defparam dout_i_i_a_x_11_.output_mode="comb_only";
defparam dout_i_i_a_x_11_.lut_mask="7777";
defparam dout_i_i_a_x_11_.synch_mode="off";
defparam dout_i_i_a_x_11_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a_23_ (
        .combout(dout_i_i_a_8),
        .dataa(ctl_o_1),
        .datab(dout_0_a2_3[7]),
        .datac(dout_0_o2_x[7]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a_23_.operation_mode="normal";
defparam dout_i_i_a_23_.output_mode="comb_only";
defparam dout_i_i_a_23_.lut_mask="4040";
defparam dout_i_i_a_23_.synch_mode="off";
defparam dout_i_i_a_23_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_2_7_ (
        .combout(dout_0_2_0),
        .dataa(dout_0_o2_0_x[7]),
        .datab(dout_0_a2_3[7]),
        .datac(dout_0_a6[7]),
        .datad(dout_0_2_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_2_7_.operation_mode="normal";
defparam dout_0_2_7_.output_mode="comb_only";
defparam dout_0_2_7_.lut_mask="f8ff";
defparam dout_0_2_7_.synch_mode="off";
defparam dout_0_2_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_2_a_7_ (
        .combout(dout_0_2_a[7]),
        .dataa(r32_o_0),
        .datab(dout_i_i_a6_3_0_x[23]),
        .datac(dout_0_a6_0_1[7]),
        .datad(dout_0_o2_x[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_2_a_7_.operation_mode="normal";
defparam dout_0_2_a_7_.output_mode="comb_only";
defparam dout_0_2_a_7_.lut_mask="2f3f";
defparam dout_0_2_a_7_.synch_mode="off";
defparam dout_0_2_a_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a_15_ (
        .combout(dout_i_i_a_0),
        .dataa(ctl_o_2),
        .datab(r32_o_0),
        .datac(dout_i_i_a2_0[15]),
        .datad(dout_0_o2_0_x[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a_15_.operation_mode="normal";
defparam dout_i_i_a_15_.output_mode="comb_only";
defparam dout_i_i_a_15_.lut_mask="4000";
defparam dout_i_i_a_15_.synch_mode="off";
defparam dout_i_i_a_15_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a6_16_ (
        .combout(dout_i_i_a6_0),
        .dataa(ctl_o_0),
        .datab(ctl_o_2),
        .datac(dout_i_i_o2[16]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a6_16_.operation_mode="normal";
defparam dout_i_i_a6_16_.output_mode="comb_only";
defparam dout_i_i_a6_16_.lut_mask="1010";
defparam dout_i_i_a6_16_.synch_mode="off";
defparam dout_i_i_a6_16_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_1_23_ (
        .combout(dout_i_i_1_8),
        .dataa(ctl_o_2),
        .datab(dout_i_i_a2_0[15]),
        .datac(dout_i_i_a6_3_0_x[23]),
        .datad(dout_i_i_1_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_1_23_.operation_mode="normal";
defparam dout_i_i_1_23_.output_mode="comb_only";
defparam dout_i_i_1_23_.lut_mask="c0c4";
defparam dout_i_i_1_23_.synch_mode="off";
defparam dout_i_i_1_23_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_1_a_23_ (
        .combout(dout_i_i_1_a[23]),
        .dataa(zz_din_c_7),
        .datab(r32_o_1),
        .datac(r32_o_0),
        .datad(dout_0_o2_x[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_1_a_23_.operation_mode="normal";
defparam dout_i_i_1_a_23_.output_mode="comb_only";
defparam dout_i_i_1_a_23_.lut_mask="707f";
defparam dout_i_i_1_a_23_.synch_mode="off";
defparam dout_i_i_1_a_23_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_o2_16_ (
        .combout(dout_i_i_o2[16]),
        .dataa(r32_o_0),
        .datab(dout_i_i_o2_a[16]),
        .datac(dout_0_o2_0_x[7]),
        .datad(dout_0_o2_x[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_o2_16_.operation_mode="normal";
defparam dout_i_i_o2_16_.output_mode="comb_only";
defparam dout_i_i_o2_16_.lut_mask="c480";
defparam dout_i_i_o2_16_.synch_mode="off";
defparam dout_i_i_o2_16_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_o2_a_16_ (
        .combout(dout_i_i_o2_a[16]),
        .dataa(ctl_o_3),
        .datab(ctl_o_1),
        .datac(r32_o_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_o2_a_16_.operation_mode="normal";
defparam dout_i_i_o2_a_16_.output_mode="comb_only";
defparam dout_i_i_o2_a_16_.lut_mask="4646";
defparam dout_i_i_o2_a_16_.synch_mode="off";
defparam dout_i_i_o2_a_16_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_1_15_ (
        .combout(dout_i_i_1_0),
        .dataa(dout_i_i_1_a[15]),
        .datab(dout_0_a2_3[7]),
        .datac(dout_0_o2_x[7]),
        .datad(dout_i_i_a6_1[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_1_15_.operation_mode="normal";
defparam dout_i_i_1_15_.output_mode="comb_only";
defparam dout_i_i_1_15_.lut_mask="ffea";
defparam dout_i_i_1_15_.synch_mode="off";
defparam dout_i_i_1_15_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_1_a_15_ (
        .combout(dout_i_i_1_a[15]),
        .dataa(ctl_o_0),
        .datab(ctl_o_2),
        .datac(r32_o_0),
        .datad(dout_i_i_a6_2_0_x[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_1_a_15_.operation_mode="normal";
defparam dout_i_i_1_a_15_.output_mode="comb_only";
defparam dout_i_i_1_a_15_.lut_mask="0100";
defparam dout_i_i_1_a_15_.synch_mode="off";
defparam dout_i_i_1_a_15_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_0_31_ (
        .combout(dout_i_i_0_0),
        .dataa(r32_o_0),
        .datab(dout_0_a2_1[8]),
        .datac(dout_0_o2_0_x[7]),
        .datad(dout_0_o2_x[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_0_31_.operation_mode="normal";
defparam dout_i_i_0_31_.output_mode="comb_only";
defparam dout_i_i_0_31_.lut_mask="c480";
defparam dout_i_i_0_31_.synch_mode="off";
defparam dout_i_i_0_31_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_o2_0_ (
        .combout(dout_0_0_o2[0]),
        .dataa(r32_o_1),
        .datab(r32_o_0),
        .datac(dout_0_o2_1[7]),
        .datad(dout_0_a2[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_o2_0_.operation_mode="normal";
defparam dout_0_0_o2_0_.output_mode="comb_only";
defparam dout_0_0_o2_0_.lut_mask="ff80";
defparam dout_0_0_o2_0_.synch_mode="off";
defparam dout_0_0_o2_0_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a2_2_0_ (
        .combout(dout_0_0_a2_2_0),
        .dataa(r32_o_1),
        .datab(r32_o_0),
        .datac(dout_0_a6_0_1[7]),
        .datad(dout_0_a2_3[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a2_2_0_.operation_mode="normal";
defparam dout_0_0_a2_2_0_.output_mode="comb_only";
defparam dout_0_0_a2_2_0_.lut_mask="5540";
defparam dout_0_0_a2_2_0_.synch_mode="off";
defparam dout_0_0_a2_2_0_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a2_8_ (
        .combout(dout_0_a2[8]),
        .dataa(ctl_o_3),
        .datab(r32_o_1),
        .datac(r32_o_0),
        .datad(dout_0_a2_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a2_8_.operation_mode="normal";
defparam dout_0_a2_8_.output_mode="comb_only";
defparam dout_0_a2_8_.lut_mask="5d00";
defparam dout_0_a2_8_.synch_mode="off";
defparam dout_0_a2_8_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a2_a_8_ (
        .combout(dout_0_a2_a[8]),
        .dataa(ctl_o_3),
        .datab(ctl_o_0),
        .datac(ctl_o_1),
        .datad(ctl_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a2_a_8_.operation_mode="normal";
defparam dout_0_a2_a_8_.output_mode="comb_only";
defparam dout_0_a2_a_8_.lut_mask="1022";
defparam dout_0_a2_a_8_.synch_mode="off";
defparam dout_0_a2_a_8_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a6_7_ (
        .combout(dout_0_a6[7]),
        .dataa(zz_din_c_7),
        .datab(r32_o_1),
        .datac(r32_o_0),
        .datad(dout_0_o2_1[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a6_7_.operation_mode="normal";
defparam dout_0_a6_7_.output_mode="comb_only";
defparam dout_0_a6_7_.lut_mask="8000";
defparam dout_0_a6_7_.synch_mode="off";
defparam dout_0_a6_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a2_1_0_ (
        .combout(dout_0_0_a2_1[0]),
        .dataa(r32_o_1),
        .datab(r32_o_0),
        .datac(dout_0_a6_0_1[7]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a2_1_0_.operation_mode="normal";
defparam dout_0_0_a2_1_0_.output_mode="comb_only";
defparam dout_0_0_a2_1_0_.lut_mask="1010";
defparam dout_0_0_a2_1_0_.synch_mode="off";
defparam dout_0_0_a2_1_0_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a2_0_8_ (
        .combout(dout_0_a2_0_0),
        .dataa(r32_o_1),
        .datab(dout_0_a2_3[7]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a2_0_8_.operation_mode="normal";
defparam dout_0_a2_0_8_.output_mode="comb_only";
defparam dout_0_a2_0_8_.lut_mask="4444";
defparam dout_0_a2_0_8_.synch_mode="off";
defparam dout_0_a2_0_8_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a2_0_0_ (
        .combout(dout_0_0_a2_0[0]),
        .dataa(r32_o_1),
        .datab(r32_o_0),
        .datac(dout_0_a6_0_1[7]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a2_0_0_.operation_mode="normal";
defparam dout_0_0_a2_0_0_.output_mode="comb_only";
defparam dout_0_0_a2_0_0_.lut_mask="2020";
defparam dout_0_0_a2_0_0_.synch_mode="off";
defparam dout_0_0_a2_0_0_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a_4_ (
        .combout(dout_0_a_3),
        .dataa(zz_din_c_28),
        .datab(zz_din_c_12),
        .datac(dout_0_0_a2_1[0]),
        .datad(dout_0_0_a2_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a_4_.operation_mode="normal";
defparam dout_0_a_4_.output_mode="comb_only";
defparam dout_0_a_4_.lut_mask="135f";
defparam dout_0_a_4_.synch_mode="off";
defparam dout_0_a_4_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a_2_ (
        .combout(dout_0_0_a_2),
        .dataa(zz_din_c_26),
        .datab(zz_din_c_10),
        .datac(dout_0_0_a2_1[0]),
        .datad(dout_0_0_a2_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a_2_.operation_mode="normal";
defparam dout_0_0_a_2_.output_mode="comb_only";
defparam dout_0_0_a_2_.lut_mask="135f";
defparam dout_0_0_a_2_.synch_mode="off";
defparam dout_0_0_a_2_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a_1_ (
        .combout(dout_0_a_0),
        .dataa(zz_din_c_25),
        .datab(zz_din_c_9),
        .datac(dout_0_0_a2_1[0]),
        .datad(dout_0_0_a2_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a_1_.operation_mode="normal";
defparam dout_0_a_1_.output_mode="comb_only";
defparam dout_0_a_1_.lut_mask="135f";
defparam dout_0_a_1_.synch_mode="off";
defparam dout_0_a_1_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a_5_ (
        .combout(dout_0_0_a_5),
        .dataa(zz_din_c_29),
        .datab(zz_din_c_13),
        .datac(dout_0_0_a2_1[0]),
        .datad(dout_0_0_a2_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a_5_.operation_mode="normal";
defparam dout_0_0_a_5_.output_mode="comb_only";
defparam dout_0_0_a_5_.lut_mask="135f";
defparam dout_0_0_a_5_.synch_mode="off";
defparam dout_0_0_a_5_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a_6_ (
        .combout(dout_0_0_a_6),
        .dataa(zz_din_c_30),
        .datab(zz_din_c_14),
        .datac(dout_0_0_a2_1[0]),
        .datad(dout_0_0_a2_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a_6_.operation_mode="normal";
defparam dout_0_0_a_6_.output_mode="comb_only";
defparam dout_0_0_a_6_.lut_mask="135f";
defparam dout_0_0_a_6_.synch_mode="off";
defparam dout_0_0_a_6_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a6_1_15_ (
        .combout(dout_i_i_a6_1[15]),
        .dataa(zz_din_c_15),
        .datab(r32_o_1),
        .datac(r32_o_0),
        .datad(dout_i_i_a2_0[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a6_1_15_.operation_mode="normal";
defparam dout_i_i_a6_1_15_.output_mode="comb_only";
defparam dout_i_i_a6_1_15_.lut_mask="0800";
defparam dout_i_i_a6_1_15_.synch_mode="off";
defparam dout_i_i_a6_1_15_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a_0_ (
        .combout(dout_0_0_a_0),
        .dataa(zz_din_c_24),
        .datab(zz_din_c_8),
        .datac(dout_0_0_a2_1[0]),
        .datad(dout_0_0_a2_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a_0_.operation_mode="normal";
defparam dout_0_0_a_0_.output_mode="comb_only";
defparam dout_0_0_a_0_.lut_mask="135f";
defparam dout_0_0_a_0_.synch_mode="off";
defparam dout_0_0_a_0_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_0_a_3_ (
        .combout(dout_0_0_a_3),
        .dataa(zz_din_c_27),
        .datab(zz_din_c_11),
        .datac(dout_0_0_a2_1[0]),
        .datad(dout_0_0_a2_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a_3_.operation_mode="normal";
defparam dout_0_0_a_3_.output_mode="comb_only";
defparam dout_0_0_a_3_.lut_mask="135f";
defparam dout_0_0_a_3_.synch_mode="off";
defparam dout_0_0_a_3_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a2_1_8_ (
        .combout(dout_0_a2_1[8]),
        .dataa(ctl_o_0),
        .datab(ctl_o_3),
        .datac(ctl_o_1),
        .datad(ctl_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a2_1_8_.operation_mode="normal";
defparam dout_0_a2_1_8_.output_mode="comb_only";
defparam dout_0_a2_1_8_.lut_mask="0010";
defparam dout_0_a2_1_8_.synch_mode="off";
defparam dout_0_a2_1_8_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_o2_1_7_ (
        .combout(dout_0_o2_1[7]),
        .dataa(ctl_o_0),
        .datab(ctl_o_3),
        .datac(ctl_o_1),
        .datad(ctl_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_o2_1_7_.operation_mode="normal";
defparam dout_0_o2_1_7_.output_mode="comb_only";
defparam dout_0_o2_1_7_.lut_mask="1110";
defparam dout_0_o2_1_7_.synch_mode="off";
defparam dout_0_o2_1_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a2_3_7_ (
        .combout(dout_0_a2_3[7]),
        .dataa(ctl_o_0),
        .datab(ctl_o_3),
        .datac(ctl_o_2),
        .datad(r32_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a2_3_7_.operation_mode="normal";
defparam dout_0_a2_3_7_.output_mode="comb_only";
defparam dout_0_a2_3_7_.lut_mask="0004";
defparam dout_0_a2_3_7_.synch_mode="off";
defparam dout_0_a2_3_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a2_2_7_ (
        .combout(dout_0_a2_2_0),
        .dataa(ctl_o_0),
        .datab(ctl_o_3),
        .datac(ctl_o_1),
        .datad(ctl_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a2_2_7_.operation_mode="normal";
defparam dout_0_a2_2_7_.output_mode="comb_only";
defparam dout_0_a2_2_7_.lut_mask="1000";
defparam dout_0_a2_2_7_.synch_mode="off";
defparam dout_0_a2_2_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_0_a6_0_1_7_ (
        .combout(dout_0_a6_0_1[7]),
        .dataa(ctl_o_0),
        .datab(ctl_o_3),
        .datac(ctl_o_1),
        .datad(ctl_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_a6_0_1_7_.operation_mode="normal";
defparam dout_0_a6_0_1_7_.output_mode="comb_only";
defparam dout_0_a6_0_1_7_.lut_mask="0110";
defparam dout_0_a6_0_1_7_.synch_mode="off";
defparam dout_0_a6_0_1_7_.sum_lutc_input="datac";
// @13:177
  cyclone_lcell dout_i_i_a2_0_15_ (
        .combout(dout_i_i_a2_0[15]),
        .dataa(ctl_o_0),
        .datab(ctl_o_3),
        .datac(ctl_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_i_i_a2_0_15_.operation_mode="normal";
defparam dout_i_i_a2_0_15_.output_mode="comb_only";
defparam dout_i_i_a2_0_15_.lut_mask="1010";
defparam dout_i_i_a2_0_15_.synch_mode="off";
defparam dout_i_i_a2_0_15_.sum_lutc_input="datac";
endmodule /* mem_dout_ctl */

// VQM4.1+ 
module mem_module (
  dout_0_0_a6_x_0,
  dout_0_0_a6_x_3,
  dout_0_0_a6_x_6,
  dout_0_0_a6_x_5,
  dout_0_0_a6_x_2,
  zz_din_c_0,
  zz_din_c_3,
  zz_din_c_6,
  zz_din_c_5,
  zz_din_c_4,
  zz_din_c_2,
  zz_din_c_1,
  zz_din_c_15,
  zz_din_c_31,
  zz_din_c_23,
  zz_din_c_7,
  zz_din_c_13,
  zz_din_c_8,
  zz_din_c_9,
  zz_din_c_12,
  zz_din_c_14,
  zz_din_c_10,
  zz_din_c_11,
  zz_din_c_28,
  zz_din_c_26,
  zz_din_c_25,
  zz_din_c_29,
  zz_din_c_30,
  zz_din_c_24,
  zz_din_c_27,
  dout_0_a6_x_3,
  dout_0_a6_x_0,
  r32_o_1,
  r32_o_0,
  dout_i_i_a_x_3,
  dout_i_i_a_x_0,
  dout_i_i_a_x_1,
  dout_0_a_x_0,
  dout_0_a_x_1,
  dout_0_a_x_4,
  dout_0_0_a_x_0,
  dout_i_i_a_8,
  dout_i_i_a_0,
  dout_0_2_0,
  dout_i_i_a6_0,
  dout_i_i_1_8,
  dout_i_i_1_0,
  dout_i_i_0_0,
  dout_0_0_a2_2_0,
  dout_0_a2_0_0,
  dout_0_a_3,
  dout_0_a_0,
  dout_0_0_a_2,
  dout_0_0_a_5,
  dout_0_0_a_6,
  dout_0_0_a_0,
  dout_0_0_a_3,
  dout_0_a2_2_0,
  dout_1_x_0,
  dout_1_x_1,
  dout_1_x_2,
  dout_1_x_3,
  dout_1_x_4,
  dout_1_x_5,
  dout_1_x_6,
  dout_1_x_7,
  dout_2_8,
  dout_2_0,
  dout_2_9,
  dout_2_1,
  dout_2_10,
  dout_2_2,
  dout_2_11,
  dout_2_3,
  dout_2_12,
  dout_2_4,
  dout_2_13,
  dout_2_5,
  dout_2_14,
  dout_2_6,
  dout_2_15,
  dout_2_7,
  dout_2_31,
  dout_2_30,
  dout_2_29,
  dout_2_28,
  dout_2_27,
  dout_2_26,
  dout_2_25,
  dout_2_24,
  dout_2_23,
  dout_2_22,
  dout_2_21,
  dout_2_20,
  dout_2_19,
  dout_2_18,
  dout_2_17,
  dout_2_16,
  dout_1_2_0,
  dout_1_2_1,
  dout_1_2_2,
  dout_1_2_3,
  dout_1_2_4,
  dout_1_2_5,
  dout_1_2_6,
  dout_1_2_7,
  dout_1_7,
  dout_1_6,
  dout_1_5,
  dout_1_4,
  dout_1_3,
  dout_1_2,
  dout_1_1,
  dout_1_0,
  wr_en_0_0_3,
  wr_en_0_0_0,
  wr_en_0_0_1,
  wr_en_0_0_2,
  dmem_ctl_o_3,
  dmem_ctl_o_2,
  dmem_ctl_o_1,
  dmem_ctl_o_0,
  c_1,
  c_0,
  c_31,
  clk_c
);
output dout_0_0_a6_x_0 ;
output dout_0_0_a6_x_3 ;
output dout_0_0_a6_x_6 ;
output dout_0_0_a6_x_5 ;
output dout_0_0_a6_x_2 ;
input zz_din_c_0 ;
input zz_din_c_3 ;
input zz_din_c_6 ;
input zz_din_c_5 ;
input zz_din_c_4 ;
input zz_din_c_2 ;
input zz_din_c_1 ;
input zz_din_c_15 ;
input zz_din_c_31 ;
input zz_din_c_23 ;
input zz_din_c_7 ;
input zz_din_c_13 ;
input zz_din_c_8 ;
input zz_din_c_9 ;
input zz_din_c_12 ;
input zz_din_c_14 ;
input zz_din_c_10 ;
input zz_din_c_11 ;
input zz_din_c_28 ;
input zz_din_c_26 ;
input zz_din_c_25 ;
input zz_din_c_29 ;
input zz_din_c_30 ;
input zz_din_c_24 ;
input zz_din_c_27 ;
output dout_0_a6_x_3 ;
output dout_0_a6_x_0 ;
input r32_o_1 ;
input r32_o_0 ;
output dout_i_i_a_x_3 ;
output dout_i_i_a_x_0 ;
output dout_i_i_a_x_1 ;
output dout_0_a_x_0 ;
output dout_0_a_x_1 ;
output dout_0_a_x_4 ;
output dout_0_0_a_x_0 ;
output dout_i_i_a_8 ;
output dout_i_i_a_0 ;
output dout_0_2_0 ;
output dout_i_i_a6_0 ;
output dout_i_i_1_8 ;
output dout_i_i_1_0 ;
output dout_i_i_0_0 ;
output dout_0_0_a2_2_0 ;
output dout_0_a2_0_0 ;
output dout_0_a_3 ;
output dout_0_a_0 ;
output dout_0_0_a_2 ;
output dout_0_0_a_5 ;
output dout_0_0_a_6 ;
output dout_0_0_a_0 ;
output dout_0_0_a_3 ;
output dout_0_a2_2_0 ;
output dout_1_x_0 ;
output dout_1_x_1 ;
output dout_1_x_2 ;
output dout_1_x_3 ;
output dout_1_x_4 ;
output dout_1_x_5 ;
output dout_1_x_6 ;
output dout_1_x_7 ;
input dout_2_8 ;
input dout_2_0 ;
input dout_2_9 ;
input dout_2_1 ;
input dout_2_10 ;
input dout_2_2 ;
input dout_2_11 ;
input dout_2_3 ;
input dout_2_12 ;
input dout_2_4 ;
input dout_2_13 ;
input dout_2_5 ;
input dout_2_14 ;
input dout_2_6 ;
input dout_2_15 ;
input dout_2_7 ;
input dout_2_31 ;
input dout_2_30 ;
input dout_2_29 ;
input dout_2_28 ;
input dout_2_27 ;
input dout_2_26 ;
input dout_2_25 ;
input dout_2_24 ;
input dout_2_23 ;
input dout_2_22 ;
input dout_2_21 ;
input dout_2_20 ;
input dout_2_19 ;
input dout_2_18 ;
input dout_2_17 ;
input dout_2_16 ;
output dout_1_2_0 ;
output dout_1_2_1 ;
output dout_1_2_2 ;
output dout_1_2_3 ;
output dout_1_2_4 ;
output dout_1_2_5 ;
output dout_1_2_6 ;
output dout_1_2_7 ;
output dout_1_7 ;
output dout_1_6 ;
output dout_1_5 ;
output dout_1_4 ;
output dout_1_3 ;
output dout_1_2 ;
output dout_1_1 ;
output dout_1_0 ;
output wr_en_0_0_3 ;
output wr_en_0_0_0 ;
output wr_en_0_0_1 ;
output wr_en_0_0_2 ;
input dmem_ctl_o_3 ;
input dmem_ctl_o_2 ;
input dmem_ctl_o_1 ;
input dmem_ctl_o_0 ;
input c_1 ;
input c_0 ;
input c_31 ;
input clk_c ;
wire dout_0_0_a6_x_0 ;
wire dout_0_0_a6_x_3 ;
wire dout_0_0_a6_x_6 ;
wire dout_0_0_a6_x_5 ;
wire dout_0_0_a6_x_2 ;
wire zz_din_c_0 ;
wire zz_din_c_3 ;
wire zz_din_c_6 ;
wire zz_din_c_5 ;
wire zz_din_c_4 ;
wire zz_din_c_2 ;
wire zz_din_c_1 ;
wire zz_din_c_15 ;
wire zz_din_c_31 ;
wire zz_din_c_23 ;
wire zz_din_c_7 ;
wire zz_din_c_13 ;
wire zz_din_c_8 ;
wire zz_din_c_9 ;
wire zz_din_c_12 ;
wire zz_din_c_14 ;
wire zz_din_c_10 ;
wire zz_din_c_11 ;
wire zz_din_c_28 ;
wire zz_din_c_26 ;
wire zz_din_c_25 ;
wire zz_din_c_29 ;
wire zz_din_c_30 ;
wire zz_din_c_24 ;
wire zz_din_c_27 ;
wire dout_0_a6_x_3 ;
wire dout_0_a6_x_0 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire dout_i_i_a_x_3 ;
wire dout_i_i_a_x_0 ;
wire dout_i_i_a_x_1 ;
wire dout_0_a_x_0 ;
wire dout_0_a_x_1 ;
wire dout_0_a_x_4 ;
wire dout_0_0_a_x_0 ;
wire dout_i_i_a_8 ;
wire dout_i_i_a_0 ;
wire dout_0_2_0 ;
wire dout_i_i_a6_0 ;
wire dout_i_i_1_8 ;
wire dout_i_i_1_0 ;
wire dout_i_i_0_0 ;
wire dout_0_0_a2_2_0 ;
wire dout_0_a2_0_0 ;
wire dout_0_a_3 ;
wire dout_0_a_0 ;
wire dout_0_0_a_2 ;
wire dout_0_0_a_5 ;
wire dout_0_0_a_6 ;
wire dout_0_0_a_0 ;
wire dout_0_0_a_3 ;
wire dout_0_a2_2_0 ;
wire dout_1_x_0 ;
wire dout_1_x_1 ;
wire dout_1_x_2 ;
wire dout_1_x_3 ;
wire dout_1_x_4 ;
wire dout_1_x_5 ;
wire dout_1_x_6 ;
wire dout_1_x_7 ;
wire dout_2_8 ;
wire dout_2_0 ;
wire dout_2_9 ;
wire dout_2_1 ;
wire dout_2_10 ;
wire dout_2_2 ;
wire dout_2_11 ;
wire dout_2_3 ;
wire dout_2_12 ;
wire dout_2_4 ;
wire dout_2_13 ;
wire dout_2_5 ;
wire dout_2_14 ;
wire dout_2_6 ;
wire dout_2_15 ;
wire dout_2_7 ;
wire dout_2_31 ;
wire dout_2_30 ;
wire dout_2_29 ;
wire dout_2_28 ;
wire dout_2_27 ;
wire dout_2_26 ;
wire dout_2_25 ;
wire dout_2_24 ;
wire dout_2_23 ;
wire dout_2_22 ;
wire dout_2_21 ;
wire dout_2_20 ;
wire dout_2_19 ;
wire dout_2_18 ;
wire dout_2_17 ;
wire dout_2_16 ;
wire dout_1_2_0 ;
wire dout_1_2_1 ;
wire dout_1_2_2 ;
wire dout_1_2_3 ;
wire dout_1_2_4 ;
wire dout_1_2_5 ;
wire dout_1_2_6 ;
wire dout_1_2_7 ;
wire dout_1_7 ;
wire dout_1_6 ;
wire dout_1_5 ;
wire dout_1_4 ;
wire dout_1_3 ;
wire dout_1_2 ;
wire dout_1_1 ;
wire dout_1_0 ;
wire wr_en_0_0_3 ;
wire wr_en_0_0_0 ;
wire wr_en_0_0_1 ;
wire wr_en_0_0_2 ;
wire dmem_ctl_o_3 ;
wire dmem_ctl_o_2 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_0 ;
wire c_1 ;
wire c_0 ;
wire c_31 ;
wire clk_c ;
wire [3:0] ctl_o;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @13:46
  infile_dmem_ctl_reg dmem_ctl_post (
        .c_0(c_31),
        .dmem_ctl_o_0(dmem_ctl_o_0),
        .dmem_ctl_o_1(dmem_ctl_o_1),
        .dmem_ctl_o_2(dmem_ctl_o_2),
        .dmem_ctl_o_3(dmem_ctl_o_3),
        .ctl_o_0(ctl_o[0]),
        .ctl_o_1(ctl_o[1]),
        .ctl_o_2(ctl_o[2]),
        .ctl_o_3(ctl_o[3]),
        .clk_c(clk_c)
);
// @13:57
  mem_addr_ctl i_mem_addr_ctl (
        .c_0(c_0),
        .c_1(c_1),
        .dmem_ctl_o_1(dmem_ctl_o_1),
        .dmem_ctl_o_2(dmem_ctl_o_2),
        .dmem_ctl_o_0(dmem_ctl_o_0),
        .dmem_ctl_o_3(dmem_ctl_o_3),
        .wr_en_0_0_2(wr_en_0_0_2),
        .wr_en_0_0_1(wr_en_0_0_1),
        .wr_en_0_0_0(wr_en_0_0_0),
        .wr_en_0_0_3(wr_en_0_0_3)
);
// @13:66
  mem_din_ctl i_mem_din_ctl (
        .dout_1_0(dout_1_0),
        .dout_1_1(dout_1_1),
        .dout_1_2(dout_1_2),
        .dout_1_3(dout_1_3),
        .dout_1_4(dout_1_4),
        .dout_1_5(dout_1_5),
        .dout_1_6(dout_1_6),
        .dout_1_7(dout_1_7),
        .dmem_ctl_o_1(dmem_ctl_o_1),
        .dmem_ctl_o_2(dmem_ctl_o_2),
        .dmem_ctl_o_0(dmem_ctl_o_0),
        .dmem_ctl_o_3(dmem_ctl_o_3),
        .dout_1_2_7(dout_1_2_7),
        .dout_1_2_6(dout_1_2_6),
        .dout_1_2_5(dout_1_2_5),
        .dout_1_2_4(dout_1_2_4),
        .dout_1_2_3(dout_1_2_3),
        .dout_1_2_2(dout_1_2_2),
        .dout_1_2_1(dout_1_2_1),
        .dout_1_2_0(dout_1_2_0),
        .dout_2_16(dout_2_16),
        .dout_2_17(dout_2_17),
        .dout_2_18(dout_2_18),
        .dout_2_19(dout_2_19),
        .dout_2_20(dout_2_20),
        .dout_2_21(dout_2_21),
        .dout_2_22(dout_2_22),
        .dout_2_23(dout_2_23),
        .dout_2_24(dout_2_24),
        .dout_2_25(dout_2_25),
        .dout_2_26(dout_2_26),
        .dout_2_27(dout_2_27),
        .dout_2_28(dout_2_28),
        .dout_2_29(dout_2_29),
        .dout_2_30(dout_2_30),
        .dout_2_31(dout_2_31),
        .dout_2_7(dout_2_7),
        .dout_2_15(dout_2_15),
        .dout_2_6(dout_2_6),
        .dout_2_14(dout_2_14),
        .dout_2_5(dout_2_5),
        .dout_2_13(dout_2_13),
        .dout_2_4(dout_2_4),
        .dout_2_12(dout_2_12),
        .dout_2_3(dout_2_3),
        .dout_2_11(dout_2_11),
        .dout_2_2(dout_2_2),
        .dout_2_10(dout_2_10),
        .dout_2_1(dout_2_1),
        .dout_2_9(dout_2_9),
        .dout_2_0(dout_2_0),
        .dout_2_8(dout_2_8),
        .dout_1_x_7(dout_1_x_7),
        .dout_1_x_6(dout_1_x_6),
        .dout_1_x_5(dout_1_x_5),
        .dout_1_x_4(dout_1_x_4),
        .dout_1_x_3(dout_1_x_3),
        .dout_1_x_2(dout_1_x_2),
        .dout_1_x_1(dout_1_x_1),
        .dout_1_x_0(dout_1_x_0)
);
// @13:75
  mem_dout_ctl i_mem_dout_ctl (
        .dout_0_a2_2_0(dout_0_a2_2_0),
        .dout_0_0_a_3(dout_0_0_a_3),
        .dout_0_0_a_0(dout_0_0_a_0),
        .dout_0_0_a_6(dout_0_0_a_6),
        .dout_0_0_a_5(dout_0_0_a_5),
        .dout_0_0_a_2(dout_0_0_a_2),
        .dout_0_a_0(dout_0_a_0),
        .dout_0_a_3(dout_0_a_3),
        .dout_0_a2_0_0(dout_0_a2_0_0),
        .dout_0_0_a2_2_0(dout_0_0_a2_2_0),
        .dout_i_i_0_0(dout_i_i_0_0),
        .dout_i_i_1_0(dout_i_i_1_0),
        .dout_i_i_1_8(dout_i_i_1_8),
        .dout_i_i_a6_0(dout_i_i_a6_0),
        .dout_0_2_0(dout_0_2_0),
        .dout_i_i_a_0(dout_i_i_a_0),
        .dout_i_i_a_8(dout_i_i_a_8),
        .dout_0_0_a_x_0(dout_0_0_a_x_0),
        .dout_0_a_x_4(dout_0_a_x_4),
        .dout_0_a_x_1(dout_0_a_x_1),
        .dout_0_a_x_0(dout_0_a_x_0),
        .dout_i_i_a_x_1(dout_i_i_a_x_1),
        .dout_i_i_a_x_0(dout_i_i_a_x_0),
        .dout_i_i_a_x_3(dout_i_i_a_x_3),
        .ctl_o_3(ctl_o[3]),
        .ctl_o_0(ctl_o[0]),
        .ctl_o_2(ctl_o[2]),
        .ctl_o_1(ctl_o[1]),
        .r32_o_0(r32_o_0),
        .r32_o_1(r32_o_1),
        .dout_0_a6_x_0(dout_0_a6_x_0),
        .dout_0_a6_x_3(dout_0_a6_x_3),
        .zz_din_c_27(zz_din_c_27),
        .zz_din_c_24(zz_din_c_24),
        .zz_din_c_30(zz_din_c_30),
        .zz_din_c_29(zz_din_c_29),
        .zz_din_c_25(zz_din_c_25),
        .zz_din_c_26(zz_din_c_26),
        .zz_din_c_28(zz_din_c_28),
        .zz_din_c_11(zz_din_c_11),
        .zz_din_c_10(zz_din_c_10),
        .zz_din_c_14(zz_din_c_14),
        .zz_din_c_12(zz_din_c_12),
        .zz_din_c_9(zz_din_c_9),
        .zz_din_c_8(zz_din_c_8),
        .zz_din_c_13(zz_din_c_13),
        .zz_din_c_7(zz_din_c_7),
        .zz_din_c_23(zz_din_c_23),
        .zz_din_c_31(zz_din_c_31),
        .zz_din_c_15(zz_din_c_15),
        .zz_din_c_1(zz_din_c_1),
        .zz_din_c_2(zz_din_c_2),
        .zz_din_c_4(zz_din_c_4),
        .zz_din_c_5(zz_din_c_5),
        .zz_din_c_6(zz_din_c_6),
        .zz_din_c_3(zz_din_c_3),
        .zz_din_c_0(zz_din_c_0),
        .dout_0_0_a6_x_2(dout_0_0_a6_x_2),
        .dout_0_0_a6_x_5(dout_0_0_a6_x_5),
        .dout_0_0_a6_x_6(dout_0_0_a6_x_6),
        .dout_0_0_a6_x_3(dout_0_0_a6_x_3),
        .dout_0_0_a6_x_0(dout_0_0_a6_x_0)
);
endmodule /* mem_module */

// VQM4.1+ 
module SYNLPM_LATR1 (
  Q,
  DATA,
  GATE,
  ACLR
);
output [0:0] Q ;
input [0:0] DATA ;
input GATE ;
input ACLR ;
lpm_latch U1 (
  .q(Q),
  .data(DATA),
  .gate(GATE),
  .aclr(ACLR)
,
   /* default port values */ 
   .aset(1'b0),
   .aconst(1'b0) );
defparam U1.lpm_width =  1;
defparam U1.width =  1;
defparam U1.lpm_type =  "LPM_LATCH";
endmodule /* SYNLPM_LATR1 */

// VQM4.1+ 
module SYNLPM_LATS1 (
  Q,
  DATA,
  GATE,
  ASET
);
output [0:0] Q ;
input [0:0] DATA ;
input GATE ;
input ASET ;
lpm_latch U1 (
  .q(Q),
  .data(DATA),
  .gate(GATE),
  .aset(ASET)
,
   /* default port values */ 
   .aclr(1'b0),
   .aconst(1'b0) );
defparam U1.lpm_width =  1;
defparam U1.width =  1;
defparam U1.lpm_type =  "LPM_LATCH";
endmodule /* SYNLPM_LATS1 */

// VQM4.1+ 
module SYNLPM_LATRS1 (
  Q,
  DATA,
  GATE,
  ASET,
  ACLR
);
output [0:0] Q ;
input [0:0] DATA ;
input GATE ;
input ASET ;
input ACLR ;
lpm_latch U1 (
  .q(Q),
  .data(DATA),
  .gate(GATE),
  .aset(ASET),
  .aclr(ACLR)
,
   /* default port values */ 
   .aconst(1'b0) );
defparam U1.lpm_width =  1;
defparam U1.width =  1;
defparam U1.lpm_type =  "LPM_LATCH";
endmodule /* SYNLPM_LATRS1 */

// VQM4.1+ 
module ctl_FSM (
  CurrState_Sreg0_ns_0_i_o2_0_0,
  pc_prectl_1_i_a2_0_a2_0,
  pc_gen_ctl_0_0_a2_2_0,
  zz_ins_i_c_0,
  CurrState_Sreg0_i_0,
  CurrState_Sreg0_3,
  CurrState_Sreg0_0,
  CurrState_Sreg0_5,
  CurrState_Sreg0_7,
  CurrState_Sreg0_2,
  CurrState_Sreg0_4,
  CurrState_Sreg0_1,
  ra2exec_ctl_clr_i_a2_0_a2,
  NET1606_i,
  fsm_dly_1_1_0_0_a2_0,
  fsm_dly_0_1_0_0,
  fsm_dly_2_1_0_0,
  irq_req_o,
  rst_c,
  fsm_dly_1_1_0_0,
  clk_c,
  NET1572_i_i,
  iack_1
);
output CurrState_Sreg0_ns_0_i_o2_0_0 ;
output pc_prectl_1_i_a2_0_a2_0 ;
input pc_gen_ctl_0_0_a2_2_0 ;
input zz_ins_i_c_0 ;
output CurrState_Sreg0_i_0 ;
output CurrState_Sreg0_3 ;
output CurrState_Sreg0_0 ;
output CurrState_Sreg0_5 ;
output CurrState_Sreg0_7 ;
output CurrState_Sreg0_2 ;
output CurrState_Sreg0_4 ;
output CurrState_Sreg0_1 ;
output ra2exec_ctl_clr_i_a2_0_a2 ;
output NET1606_i ;
input fsm_dly_1_1_0_0_a2_0 ;
input fsm_dly_0_1_0_0 ;
input fsm_dly_2_1_0_0 ;
input irq_req_o ;
input rst_c ;
input fsm_dly_1_1_0_0 ;
input clk_c ;
output NET1572_i_i ;
output iack_1 ;
wire CurrState_Sreg0_ns_0_i_o2_0_0 ;
wire pc_prectl_1_i_a2_0_a2_0 ;
wire pc_gen_ctl_0_0_a2_2_0 ;
wire zz_ins_i_c_0 ;
wire CurrState_Sreg0_i_0 ;
wire CurrState_Sreg0_3 ;
wire CurrState_Sreg0_0 ;
wire CurrState_Sreg0_5 ;
wire CurrState_Sreg0_7 ;
wire CurrState_Sreg0_2 ;
wire CurrState_Sreg0_4 ;
wire CurrState_Sreg0_1 ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire NET1606_i ;
wire fsm_dly_1_1_0_0_a2_0 ;
wire fsm_dly_0_1_0_0 ;
wire fsm_dly_2_1_0_0 ;
wire irq_req_o ;
wire rst_c ;
wire fsm_dly_1_1_0_0 ;
wire clk_c ;
wire NET1572_i_i ;
wire iack_1 ;
wire [5:0] next_delay_counter_Sreg0;
wire [0:0] delay_counter_Sreg0_i_i;
wire [5:0] delay_counter_Sreg0;
wire [1:1] CurrState_Sreg0_ns_0_i_a2_x;
wire [1:1] CurrState_Sreg0_ns_0_i_a;
wire [1:1] CurrState_Sreg0_ns_0_i_o2_1_x;
wire [1:1] CurrState_Sreg0_ns_0_i_a2_a;
wire un1_rst_2_i_0 ;
wire un4_next_delay_counter_Sreg0_sum1 ;
wire un4_next_delay_counter_Sreg0_sum5 ;
wire VCC ;
wire GND ;
wire un15_combout ;
wire un4_next_delay_counter_Sreg0_sum2 ;
wire un4_next_delay_counter_Sreg0_sum3 ;
wire un4_next_delay_counter_Sreg0_sum4 ;
wire CurrState_Sreg0_ns_4_a2_0 ;
wire CurrState_Sreg0_ns_2_a2_0_x ;
wire CurrState_Sreg0_ns_3_o2_x ;
wire un1_rst_2_i_0_o2 ;
wire un4_next_delay_counter_Sreg0_c2 ;
wire un1_rst_2_i_0_o2_a ;
wire un15_a ;
wire N_1675 ;
wire N_17 ;
wire N_16 ;
wire N_15 ;
wire N_14 ;
wire N_13 ;
wire N_12 ;
wire N_11 ;
wire N_10 ;
wire N_9 ;
wire rst_c_i ;
//@1:1
  assign VCC = 1'b1;
//@1:1
  assign GND = 1'b0;
// @9:69
  SYNLPM_LATR1 next_delay_counter_Sreg0_0_ (
        .Q(next_delay_counter_Sreg0[0]),
        .DATA(delay_counter_Sreg0_i_i[0]),
        .GATE(un1_rst_2_i_0),
        .ACLR(CurrState_Sreg0_1)
);
// @9:69
  SYNLPM_LATS1 next_delay_counter_Sreg0_1_ (
        .Q(next_delay_counter_Sreg0[1]),
        .DATA(un4_next_delay_counter_Sreg0_sum1),
        .GATE(un1_rst_2_i_0),
        .ASET(CurrState_Sreg0_1)
);
// @9:69
  SYNLPM_LATS1 next_delay_counter_Sreg0_5_ (
        .Q(next_delay_counter_Sreg0[5]),
        .DATA(un4_next_delay_counter_Sreg0_sum5),
        .GATE(un1_rst_2_i_0),
        .ASET(CurrState_Sreg0_1)
);
// @9:69
  SYNLPM_LATRS1 iack_1_cZ (
        .Q(iack_1),
        .DATA(VCC),
        .GATE(GND),
        .ASET(un15_combout),
        .ACLR(CurrState_Sreg0_4)
);
// @9:69
  SYNLPM_LATR1 next_delay_counter_Sreg0_2__Z (
        .Q(next_delay_counter_Sreg0[2]),
        .DATA(un4_next_delay_counter_Sreg0_sum2),
        .GATE(un1_rst_2_i_0),
        .ACLR(CurrState_Sreg0_1)
);
// @9:69
  SYNLPM_LATR1 next_delay_counter_Sreg0_3__Z (
        .Q(next_delay_counter_Sreg0[3]),
        .DATA(un4_next_delay_counter_Sreg0_sum3),
        .GATE(un1_rst_2_i_0),
        .ACLR(CurrState_Sreg0_1)
);
// @9:69
  SYNLPM_LATR1 next_delay_counter_Sreg0_4__Z (
        .Q(next_delay_counter_Sreg0[4]),
        .DATA(un4_next_delay_counter_Sreg0_sum4),
        .GATE(un1_rst_2_i_0),
        .ACLR(CurrState_Sreg0_1)
);
  assign  NET1572_i_i = ~ CurrState_Sreg0_2;
  assign  delay_counter_Sreg0_i_i[0] = ~ delay_counter_Sreg0[0];
// @9:196
  cyclone_lcell CurrState_Sreg0_8__Z (
        .regout(CurrState_Sreg0_7),
        .clk(clk_c),
        .dataa(fsm_dly_1_1_0_0),
        .datab(CurrState_Sreg0_2),
        .datac(CurrState_Sreg0_ns_4_a2_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_8__Z.operation_mode="normal";
defparam CurrState_Sreg0_8__Z.output_mode="reg_only";
defparam CurrState_Sreg0_8__Z.lut_mask="ecec";
defparam CurrState_Sreg0_8__Z.synch_mode="on";
defparam CurrState_Sreg0_8__Z.sum_lutc_input="datac";
// @9:165
  cyclone_lcell CurrState_Sreg0_6__Z (
        .regout(CurrState_Sreg0_5),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_6__Z.operation_mode="normal";
defparam CurrState_Sreg0_6__Z.output_mode="reg_only";
defparam CurrState_Sreg0_6__Z.lut_mask="5555";
defparam CurrState_Sreg0_6__Z.synch_mode="off";
defparam CurrState_Sreg0_6__Z.sum_lutc_input="datac";
// @9:149
  cyclone_lcell CurrState_Sreg0_5__Z (
        .regout(CurrState_Sreg0_4),
        .clk(clk_c),
        .dataa(iack_1),
        .datab(irq_req_o),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_5__Z.operation_mode="normal";
defparam CurrState_Sreg0_5__Z.output_mode="reg_only";
defparam CurrState_Sreg0_5__Z.lut_mask="8080";
defparam CurrState_Sreg0_5__Z.synch_mode="on";
defparam CurrState_Sreg0_5__Z.sum_lutc_input="datac";
// @9:133
  cyclone_lcell CurrState_Sreg0_4__Z (
        .regout(CurrState_Sreg0_3),
        .clk(clk_c),
        .dataa(fsm_dly_1_1_0_0),
        .datab(fsm_dly_2_1_0_0),
        .datac(CurrState_Sreg0_7),
        .datad(CurrState_Sreg0_ns_2_a2_0_x),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_4__Z.operation_mode="normal";
defparam CurrState_Sreg0_4__Z.output_mode="reg_only";
defparam CurrState_Sreg0_4__Z.lut_mask="4440";
defparam CurrState_Sreg0_4__Z.synch_mode="on";
defparam CurrState_Sreg0_4__Z.sum_lutc_input="datac";
// @9:118
  cyclone_lcell CurrState_Sreg0_3__Z (
        .regout(CurrState_Sreg0_2),
        .clk(clk_c),
        .dataa(fsm_dly_0_1_0_0),
        .datab(CurrState_Sreg0_ns_3_o2_x),
        .datac(CurrState_Sreg0_7),
        .datad(CurrState_Sreg0_ns_2_a2_0_x),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_3__Z.operation_mode="normal";
defparam CurrState_Sreg0_3__Z.output_mode="reg_only";
defparam CurrState_Sreg0_3__Z.lut_mask="2220";
defparam CurrState_Sreg0_3__Z.synch_mode="on";
defparam CurrState_Sreg0_3__Z.sum_lutc_input="datac";
// @9:102
  cyclone_lcell CurrState_Sreg0_2__Z (
        .regout(CurrState_Sreg0_1),
        .clk(clk_c),
        .dataa(fsm_dly_1_1_0_0_a2_0),
        .datab(fsm_dly_2_1_0_0),
        .datac(CurrState_Sreg0_7),
        .datad(CurrState_Sreg0_ns_2_a2_0_x),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_2__Z.operation_mode="normal";
defparam CurrState_Sreg0_2__Z.output_mode="reg_only";
defparam CurrState_Sreg0_2__Z.lut_mask="2220";
defparam CurrState_Sreg0_2__Z.synch_mode="on";
defparam CurrState_Sreg0_2__Z.sum_lutc_input="datac";
// @9:70
  cyclone_lcell CurrState_Sreg0_1__Z (
        .regout(CurrState_Sreg0_0),
        .clk(clk_c),
        .dataa(CurrState_Sreg0_1),
        .datab(CurrState_Sreg0_2),
        .datac(CurrState_Sreg0_ns_0_i_a2_x[1]),
        .datad(CurrState_Sreg0_ns_0_i_a[1]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_1__Z.operation_mode="normal";
defparam CurrState_Sreg0_1__Z.output_mode="reg_only";
defparam CurrState_Sreg0_1__Z.lut_mask="0001";
defparam CurrState_Sreg0_1__Z.synch_mode="on";
defparam CurrState_Sreg0_1__Z.sum_lutc_input="datac";
// @9:222
  cyclone_lcell CurrState_Sreg0_i_0__Z (
        .regout(CurrState_Sreg0_i_0),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(CurrState_Sreg0_i_0),
        .datac(CurrState_Sreg0_1),
        .datad(un1_rst_2_i_0_o2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_i_0__Z.operation_mode="normal";
defparam CurrState_Sreg0_i_0__Z.output_mode="reg_only";
defparam CurrState_Sreg0_i_0__Z.lut_mask="5d5f";
defparam CurrState_Sreg0_i_0__Z.synch_mode="off";
defparam CurrState_Sreg0_i_0__Z.sum_lutc_input="datac";
// @9:273
  cyclone_lcell delay_counter_Sreg0_5__Z (
        .regout(delay_counter_Sreg0[5]),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(next_delay_counter_Sreg0[5]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam delay_counter_Sreg0_5__Z.operation_mode="normal";
defparam delay_counter_Sreg0_5__Z.output_mode="reg_only";
defparam delay_counter_Sreg0_5__Z.lut_mask="dddd";
defparam delay_counter_Sreg0_5__Z.synch_mode="off";
defparam delay_counter_Sreg0_5__Z.sum_lutc_input="datac";
// @9:273
  cyclone_lcell delay_counter_Sreg0_4__Z (
        .regout(delay_counter_Sreg0[4]),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(next_delay_counter_Sreg0[4]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam delay_counter_Sreg0_4__Z.operation_mode="normal";
defparam delay_counter_Sreg0_4__Z.output_mode="reg_only";
defparam delay_counter_Sreg0_4__Z.lut_mask="8888";
defparam delay_counter_Sreg0_4__Z.synch_mode="off";
defparam delay_counter_Sreg0_4__Z.sum_lutc_input="datac";
// @9:273
  cyclone_lcell delay_counter_Sreg0_3__Z (
        .regout(delay_counter_Sreg0[3]),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(next_delay_counter_Sreg0[3]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam delay_counter_Sreg0_3__Z.operation_mode="normal";
defparam delay_counter_Sreg0_3__Z.output_mode="reg_only";
defparam delay_counter_Sreg0_3__Z.lut_mask="dddd";
defparam delay_counter_Sreg0_3__Z.synch_mode="off";
defparam delay_counter_Sreg0_3__Z.sum_lutc_input="datac";
// @9:273
  cyclone_lcell delay_counter_Sreg0_2__Z (
        .regout(delay_counter_Sreg0[2]),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(next_delay_counter_Sreg0[2]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam delay_counter_Sreg0_2__Z.operation_mode="normal";
defparam delay_counter_Sreg0_2__Z.output_mode="reg_only";
defparam delay_counter_Sreg0_2__Z.lut_mask="8888";
defparam delay_counter_Sreg0_2__Z.synch_mode="off";
defparam delay_counter_Sreg0_2__Z.sum_lutc_input="datac";
// @9:273
  cyclone_lcell delay_counter_Sreg0_1__Z (
        .regout(delay_counter_Sreg0[1]),
        .clk(clk_c),
        .dataa(next_delay_counter_Sreg0[1]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam delay_counter_Sreg0_1__Z.operation_mode="normal";
defparam delay_counter_Sreg0_1__Z.output_mode="reg_only";
defparam delay_counter_Sreg0_1__Z.lut_mask="aaaa";
defparam delay_counter_Sreg0_1__Z.synch_mode="on";
defparam delay_counter_Sreg0_1__Z.sum_lutc_input="datac";
// @9:273
  cyclone_lcell delay_counter_Sreg0_0__Z (
        .regout(delay_counter_Sreg0[0]),
        .clk(clk_c),
        .dataa(next_delay_counter_Sreg0[0]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam delay_counter_Sreg0_0__Z.operation_mode="normal";
defparam delay_counter_Sreg0_0__Z.output_mode="reg_only";
defparam delay_counter_Sreg0_0__Z.lut_mask="aaaa";
defparam delay_counter_Sreg0_0__Z.synch_mode="on";
defparam delay_counter_Sreg0_0__Z.sum_lutc_input="datac";
// @9:265
  cyclone_lcell CurrState_Sreg0_ns_0_i_o2_1_x_1_ (
        .combout(CurrState_Sreg0_ns_0_i_o2_1_x[1]),
        .dataa(iack_1),
        .datab(irq_req_o),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_ns_0_i_o2_1_x_1_.operation_mode="normal";
defparam CurrState_Sreg0_ns_0_i_o2_1_x_1_.output_mode="comb_only";
defparam CurrState_Sreg0_ns_0_i_o2_1_x_1_.lut_mask="7777";
defparam CurrState_Sreg0_ns_0_i_o2_1_x_1_.synch_mode="off";
defparam CurrState_Sreg0_ns_0_i_o2_1_x_1_.sum_lutc_input="datac";
// @9:265
  cyclone_lcell CurrState_Sreg0_ns_2_a2_0_x_cZ (
        .combout(CurrState_Sreg0_ns_2_a2_0_x),
        .dataa(iack_1),
        .datab(irq_req_o),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_ns_2_a2_0_x_cZ.operation_mode="normal";
defparam CurrState_Sreg0_ns_2_a2_0_x_cZ.output_mode="comb_only";
defparam CurrState_Sreg0_ns_2_a2_0_x_cZ.lut_mask="7070";
defparam CurrState_Sreg0_ns_2_a2_0_x_cZ.synch_mode="off";
defparam CurrState_Sreg0_ns_2_a2_0_x_cZ.sum_lutc_input="datac";
// @9:265
  cyclone_lcell CurrState_Sreg0_ns_3_o2_x_cZ (
        .combout(CurrState_Sreg0_ns_3_o2_x),
        .dataa(zz_ins_i_c_0),
        .datab(pc_gen_ctl_0_0_a2_2_0),
        .datac(fsm_dly_1_1_0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_ns_3_o2_x_cZ.operation_mode="normal";
defparam CurrState_Sreg0_ns_3_o2_x_cZ.output_mode="comb_only";
defparam CurrState_Sreg0_ns_3_o2_x_cZ.lut_mask="f8f8";
defparam CurrState_Sreg0_ns_3_o2_x_cZ.synch_mode="off";
defparam CurrState_Sreg0_ns_3_o2_x_cZ.sum_lutc_input="datac";
// @9:265
  cyclone_lcell CurrState_Sreg0_ns_0_i_a2_x_1_ (
        .combout(CurrState_Sreg0_ns_0_i_a2_x[1]),
        .dataa(CurrState_Sreg0_ns_0_i_a2_a[1]),
        .datab(CurrState_Sreg0_7),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_ns_0_i_a2_x_1_.operation_mode="normal";
defparam CurrState_Sreg0_ns_0_i_a2_x_1_.output_mode="comb_only";
defparam CurrState_Sreg0_ns_0_i_a2_x_1_.lut_mask="a8a8";
defparam CurrState_Sreg0_ns_0_i_a2_x_1_.synch_mode="off";
defparam CurrState_Sreg0_ns_0_i_a2_x_1_.sum_lutc_input="datac";
// @9:265
  cyclone_lcell CurrState_Sreg0_ns_0_i_a_1_ (
        .combout(CurrState_Sreg0_ns_0_i_a[1]),
        .dataa(CurrState_Sreg0_i_0),
        .datab(CurrState_Sreg0_0),
        .datac(CurrState_Sreg0_ns_0_i_o2_1_x[1]),
        .datad(un1_rst_2_i_0_o2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_ns_0_i_a_1_.operation_mode="normal";
defparam CurrState_Sreg0_ns_0_i_a_1_.output_mode="comb_only";
defparam CurrState_Sreg0_ns_0_i_a_1_.lut_mask="5d0c";
defparam CurrState_Sreg0_ns_0_i_a_1_.synch_mode="off";
defparam CurrState_Sreg0_ns_0_i_a_1_.sum_lutc_input="datac";
// @9:265
  cyclone_lcell CurrState_Sreg0_ns_0_i_a2_a_1_ (
        .combout(CurrState_Sreg0_ns_0_i_a2_a[1]),
        .dataa(zz_ins_i_c_0),
        .datab(pc_gen_ctl_0_0_a2_2_0),
        .datac(fsm_dly_0_1_0_0),
        .datad(fsm_dly_1_1_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_ns_0_i_a2_a_1_.operation_mode="normal";
defparam CurrState_Sreg0_ns_0_i_a2_a_1_.output_mode="comb_only";
defparam CurrState_Sreg0_ns_0_i_a2_a_1_.lut_mask="0ff8";
defparam CurrState_Sreg0_ns_0_i_a2_a_1_.synch_mode="off";
defparam CurrState_Sreg0_ns_0_i_a2_a_1_.sum_lutc_input="datac";
// @9:265
  cyclone_lcell CurrState_Sreg0_ns_4_a2_0_cZ (
        .combout(CurrState_Sreg0_ns_4_a2_0),
        .dataa(fsm_dly_2_1_0_0),
        .datab(CurrState_Sreg0_7),
        .datac(CurrState_Sreg0_0),
        .datad(CurrState_Sreg0_ns_0_i_o2_1_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_ns_4_a2_0_cZ.operation_mode="normal";
defparam CurrState_Sreg0_ns_4_a2_0_cZ.output_mode="comb_only";
defparam CurrState_Sreg0_ns_4_a2_0_cZ.lut_mask="a888";
defparam CurrState_Sreg0_ns_4_a2_0_cZ.synch_mode="off";
defparam CurrState_Sreg0_ns_4_a2_0_cZ.sum_lutc_input="datac";
// @9:241
  cyclone_lcell un4_next_delay_counter_Sreg0_sum5_cZ (
        .combout(un4_next_delay_counter_Sreg0_sum5),
        .dataa(delay_counter_Sreg0[3]),
        .datab(delay_counter_Sreg0[4]),
        .datac(delay_counter_Sreg0[5]),
        .datad(un4_next_delay_counter_Sreg0_c2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un4_next_delay_counter_Sreg0_sum5_cZ.operation_mode="normal";
defparam un4_next_delay_counter_Sreg0_sum5_cZ.output_mode="comb_only";
defparam un4_next_delay_counter_Sreg0_sum5_cZ.lut_mask="f0e1";
defparam un4_next_delay_counter_Sreg0_sum5_cZ.synch_mode="off";
defparam un4_next_delay_counter_Sreg0_sum5_cZ.sum_lutc_input="datac";
// @9:69
  cyclone_lcell un1_rst_2_i_0_cZ (
        .combout(un1_rst_2_i_0),
        .dataa(rst_c),
        .datab(CurrState_Sreg0_i_0),
        .datac(CurrState_Sreg0_1),
        .datad(un1_rst_2_i_0_o2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_rst_2_i_0_cZ.operation_mode="normal";
defparam un1_rst_2_i_0_cZ.output_mode="comb_only";
defparam un1_rst_2_i_0_cZ.lut_mask="a200";
defparam un1_rst_2_i_0_cZ.synch_mode="off";
defparam un1_rst_2_i_0_cZ.sum_lutc_input="datac";
// @9:241
  cyclone_lcell un4_next_delay_counter_Sreg0_sum4_cZ (
        .combout(un4_next_delay_counter_Sreg0_sum4),
        .dataa(delay_counter_Sreg0[3]),
        .datab(delay_counter_Sreg0[4]),
        .datac(un4_next_delay_counter_Sreg0_c2),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un4_next_delay_counter_Sreg0_sum4_cZ.operation_mode="normal";
defparam un4_next_delay_counter_Sreg0_sum4_cZ.output_mode="comb_only";
defparam un4_next_delay_counter_Sreg0_sum4_cZ.lut_mask="c9c9";
defparam un4_next_delay_counter_Sreg0_sum4_cZ.synch_mode="off";
defparam un4_next_delay_counter_Sreg0_sum4_cZ.sum_lutc_input="datac";
// @9:69
  cyclone_lcell un1_rst_2_i_0_o2_cZ (
        .combout(un1_rst_2_i_0_o2),
        .dataa(delay_counter_Sreg0[2]),
        .datab(delay_counter_Sreg0[1]),
        .datac(delay_counter_Sreg0[5]),
        .datad(un1_rst_2_i_0_o2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_rst_2_i_0_o2_cZ.operation_mode="normal";
defparam un1_rst_2_i_0_o2_cZ.output_mode="comb_only";
defparam un1_rst_2_i_0_o2_cZ.lut_mask="feff";
defparam un1_rst_2_i_0_o2_cZ.synch_mode="off";
defparam un1_rst_2_i_0_o2_cZ.sum_lutc_input="datac";
// @9:69
  cyclone_lcell un1_rst_2_i_0_o2_a_cZ (
        .combout(un1_rst_2_i_0_o2_a),
        .dataa(delay_counter_Sreg0[3]),
        .datab(delay_counter_Sreg0[4]),
        .datac(delay_counter_Sreg0[0]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_rst_2_i_0_o2_a_cZ.operation_mode="normal";
defparam un1_rst_2_i_0_o2_a_cZ.output_mode="comb_only";
defparam un1_rst_2_i_0_o2_a_cZ.lut_mask="0101";
defparam un1_rst_2_i_0_o2_a_cZ.synch_mode="off";
defparam un1_rst_2_i_0_o2_a_cZ.sum_lutc_input="datac";
// @9:241
  cyclone_lcell un4_next_delay_counter_Sreg0_sum3_cZ (
        .combout(un4_next_delay_counter_Sreg0_sum3),
        .dataa(delay_counter_Sreg0[0]),
        .datab(delay_counter_Sreg0[1]),
        .datac(delay_counter_Sreg0[2]),
        .datad(delay_counter_Sreg0[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un4_next_delay_counter_Sreg0_sum3_cZ.operation_mode="normal";
defparam un4_next_delay_counter_Sreg0_sum3_cZ.output_mode="comb_only";
defparam un4_next_delay_counter_Sreg0_sum3_cZ.lut_mask="fe01";
defparam un4_next_delay_counter_Sreg0_sum3_cZ.synch_mode="off";
defparam un4_next_delay_counter_Sreg0_sum3_cZ.sum_lutc_input="datac";
// @9:69
  cyclone_lcell id2ra_ins_clr_1_0_a2_0_a2 (
        .combout(NET1606_i),
        .dataa(CurrState_Sreg0_7),
        .datab(CurrState_Sreg0_3),
        .datac(CurrState_Sreg0_0),
        .datad(CurrState_Sreg0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam id2ra_ins_clr_1_0_a2_0_a2.operation_mode="normal";
defparam id2ra_ins_clr_1_0_a2_0_a2.output_mode="comb_only";
defparam id2ra_ins_clr_1_0_a2_0_a2.lut_mask="fffe";
defparam id2ra_ins_clr_1_0_a2_0_a2.synch_mode="off";
defparam id2ra_ins_clr_1_0_a2_0_a2.sum_lutc_input="datac";
// @9:69
  cyclone_lcell un15 (
        .combout(un15_combout),
        .dataa(CurrState_Sreg0_0),
        .datab(CurrState_Sreg0_4),
        .datac(un15_a),
        .datad(CurrState_Sreg0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un15.operation_mode="normal";
defparam un15.output_mode="comb_only";
defparam un15.lut_mask="0001";
defparam un15.synch_mode="off";
defparam un15.sum_lutc_input="datac";
// @9:69
  cyclone_lcell un15_a_cZ (
        .combout(un15_a),
        .dataa(CurrState_Sreg0_3),
        .datab(CurrState_Sreg0_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un15_a_cZ.operation_mode="normal";
defparam un15_a_cZ.output_mode="comb_only";
defparam un15_a_cZ.lut_mask="1111";
defparam un15_a_cZ.synch_mode="off";
defparam un15_a_cZ.sum_lutc_input="datac";
// @9:69
  cyclone_lcell ra2exec_ctl_clr_i_a2_0_a2_cZ (
        .combout(ra2exec_ctl_clr_i_a2_0_a2),
        .dataa(CurrState_Sreg0_5),
        .datab(CurrState_Sreg0_4),
        .datac(CurrState_Sreg0_2),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ra2exec_ctl_clr_i_a2_0_a2_cZ.operation_mode="normal";
defparam ra2exec_ctl_clr_i_a2_0_a2_cZ.output_mode="comb_only";
defparam ra2exec_ctl_clr_i_a2_0_a2_cZ.lut_mask="0101";
defparam ra2exec_ctl_clr_i_a2_0_a2_cZ.synch_mode="off";
defparam ra2exec_ctl_clr_i_a2_0_a2_cZ.sum_lutc_input="datac";
// @9:69
  cyclone_lcell pc_prectl_1_i_a2_0_a2_1_ (
        .combout(pc_prectl_1_i_a2_0_a2_0),
        .dataa(CurrState_Sreg0_i_0),
        .datab(CurrState_Sreg0_1),
        .datac(CurrState_Sreg0_2),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_prectl_1_i_a2_0_a2_1_.operation_mode="normal";
defparam pc_prectl_1_i_a2_0_a2_1_.output_mode="comb_only";
defparam pc_prectl_1_i_a2_0_a2_1_.lut_mask="0202";
defparam pc_prectl_1_i_a2_0_a2_1_.synch_mode="off";
defparam pc_prectl_1_i_a2_0_a2_1_.sum_lutc_input="datac";
// @9:241
  cyclone_lcell un4_next_delay_counter_Sreg0_sum2_cZ (
        .combout(un4_next_delay_counter_Sreg0_sum2),
        .dataa(delay_counter_Sreg0[0]),
        .datab(delay_counter_Sreg0[1]),
        .datac(delay_counter_Sreg0[2]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un4_next_delay_counter_Sreg0_sum2_cZ.operation_mode="normal";
defparam un4_next_delay_counter_Sreg0_sum2_cZ.output_mode="comb_only";
defparam un4_next_delay_counter_Sreg0_sum2_cZ.lut_mask="e1e1";
defparam un4_next_delay_counter_Sreg0_sum2_cZ.synch_mode="off";
defparam un4_next_delay_counter_Sreg0_sum2_cZ.sum_lutc_input="datac";
// @9:241
  cyclone_lcell un4_next_delay_counter_Sreg0_c2_cZ (
        .combout(un4_next_delay_counter_Sreg0_c2),
        .dataa(delay_counter_Sreg0[0]),
        .datab(delay_counter_Sreg0[1]),
        .datac(delay_counter_Sreg0[2]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un4_next_delay_counter_Sreg0_c2_cZ.operation_mode="normal";
defparam un4_next_delay_counter_Sreg0_c2_cZ.output_mode="comb_only";
defparam un4_next_delay_counter_Sreg0_c2_cZ.lut_mask="fefe";
defparam un4_next_delay_counter_Sreg0_c2_cZ.synch_mode="off";
defparam un4_next_delay_counter_Sreg0_c2_cZ.sum_lutc_input="datac";
// @9:265
  cyclone_lcell CurrState_Sreg0_ns_0_i_o2_0_1_ (
        .combout(CurrState_Sreg0_ns_0_i_o2_0_0),
        .dataa(CurrState_Sreg0_7),
        .datab(CurrState_Sreg0_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam CurrState_Sreg0_ns_0_i_o2_0_1_.operation_mode="normal";
defparam CurrState_Sreg0_ns_0_i_o2_0_1_.output_mode="comb_only";
defparam CurrState_Sreg0_ns_0_i_o2_0_1_.lut_mask="eeee";
defparam CurrState_Sreg0_ns_0_i_o2_0_1_.synch_mode="off";
defparam CurrState_Sreg0_ns_0_i_o2_0_1_.sum_lutc_input="datac";
// @9:241
  cyclone_lcell un4_next_delay_counter_Sreg0_sum1_cZ (
        .combout(un4_next_delay_counter_Sreg0_sum1),
        .dataa(delay_counter_Sreg0[1]),
        .datab(delay_counter_Sreg0[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un4_next_delay_counter_Sreg0_sum1_cZ.operation_mode="normal";
defparam un4_next_delay_counter_Sreg0_sum1_cZ.output_mode="comb_only";
defparam un4_next_delay_counter_Sreg0_sum1_cZ.lut_mask="9999";
defparam un4_next_delay_counter_Sreg0_sum1_cZ.synch_mode="off";
defparam un4_next_delay_counter_Sreg0_sum1_cZ.sum_lutc_input="datac";
//@9:265
  assign  rst_c_i = ~ rst_c;
endmodule /* ctl_FSM */

// VQM4.1+ 
module pc_gen (
  r32_o_1_0,
  r32_o_1_1,
  r32_o_1_13,
  CurrState_Sreg0_i_0,
  res_7_0_0_o3_0,
  res_7_0_0_0_0,
  res_7_0_0_0_1,
  res_7_0_0_0_13,
  r32_o_0_0,
  r32_o_0_3,
  r32_o_0_4,
  r32_o_0_5,
  r32_o_0_6,
  r32_o_0_7,
  r32_o_0_8,
  r32_o_0_9,
  r32_o_0_10,
  r32_o_0_11,
  r32_o_0_12,
  r32_o_0_13,
  r32_o_0_15,
  r32_o_0_16,
  r32_o_0_17,
  r32_o_0_18,
  r32_o_0_19,
  r32_o_0_20,
  r32_o_0_21,
  r32_o_0_22,
  r32_o_0_23,
  r32_o_0_24,
  r32_o_0_25,
  r32_o_0_26,
  r32_o_0_27,
  r32_o_0_28,
  r32_o_0_29,
  r32_o_0_30,
  r32_o_0_31,
  r32_o_0_1,
  r32_o_0_2,
  r32_o_0_14,
  pc_prectl_1_i_a2_0_a2_0,
  CurrState_Sreg0_ns_0_i_o2_0_0,
  CurrState_Sreg0_2,
  CurrState_Sreg0_1,
  CurrState_Sreg0_5,
  CurrState_Sreg0_0,
  CurrState_Sreg0_4,
  CurrState_Sreg0_3,
  CurrState_Sreg0_7,
  pc_gen_ctl_o_1,
  pc_gen_ctl_o_0,
  pc_gen_ctl_o_2,
  pc_next_iv_0_0_0,
  res_7_0_0_31,
  res_7_0_0_28,
  res_7_0_0_30,
  res_7_0_0_29,
  res_7_0_0_0,
  res_7_0_0_1,
  res_7_0_0_5,
  res_7_0_0_6,
  res_7_0_0_7,
  res_7_0_0_8,
  res_7_0_0_9,
  res_7_0_0_10,
  res_7_0_0_11,
  res_7_0_0_12,
  res_7_0_0_13,
  res_7_0_0_14,
  res_7_0_0_15,
  res_7_0_0_17,
  res_7_0_0_2,
  res_7_0_0_3,
  res_7_0_0_4,
  res_7_0_0_16,
  res_7_0_0_18,
  res_7_0_0_19,
  res_7_0_0_20,
  res_7_0_0_21,
  res_7_0_0_22,
  res_7_0_0_23,
  res_7_0_0_24,
  res_7_0_0_25,
  res_7_0_0_26,
  res_7_0_0_27,
  irq_addr_o_28,
  irq_addr_o_29,
  irq_addr_o_30,
  irq_addr_o_31,
  irq_addr_o_0,
  irq_addr_o_1,
  irq_addr_o_5,
  irq_addr_o_6,
  irq_addr_o_7,
  irq_addr_o_8,
  irq_addr_o_9,
  irq_addr_o_10,
  irq_addr_o_11,
  irq_addr_o_12,
  irq_addr_o_13,
  irq_addr_o_14,
  irq_addr_o_15,
  irq_addr_o_17,
  irq_addr_o_2,
  irq_addr_o_3,
  irq_addr_o_4,
  irq_addr_o_16,
  irq_addr_o_18,
  irq_addr_o_19,
  irq_addr_o_20,
  irq_addr_o_21,
  irq_addr_o_22,
  irq_addr_o_23,
  irq_addr_o_24,
  irq_addr_o_25,
  irq_addr_o_26,
  irq_addr_o_27,
  pc_next_iv_0_a_0,
  pc_next_iv_0_28,
  pc_next_iv_0_29,
  pc_next_iv_0_30,
  pc_next_iv_0_31,
  pc_next_iv_0_0_d0,
  pc_next_iv_0_17,
  pc_next_iv_0_18,
  pc_next_iv_0_19,
  pc_next_iv_0_20,
  pc_next_iv_0_21,
  pc_next_iv_0_22,
  pc_next_iv_0_23,
  pc_next_iv_0_24,
  pc_next_iv_0_25,
  pc_next_iv_0_26,
  pc_next_iv_0_27,
  pc_next_iv_0_14,
  pc_next_iv_0_8,
  pc_next_iv_0_1,
  pc_next_iv_0_6,
  pc_next_iv_0_5,
  pc_next_iv_0_13,
  pc_next_iv_0_12,
  pc_next_iv_0_15,
  pc_next_iv_0_9,
  pc_next_iv_0_7,
  pc_next_iv_0_11,
  pc_next_iv_0_10,
  pc_next_iv_0_4,
  pc_next_iv_0_3,
  pc_next_iv_0_2,
  pc_next_iv_13,
  pc_next_iv_7,
  pc_next_iv_0,
  pc_next_iv_5,
  pc_next_iv_4,
  pc_next_iv_12,
  pc_next_iv_11,
  pc_next_iv_14,
  pc_next_iv_8,
  pc_next_iv_6,
  pc_next_iv_10,
  pc_next_iv_9,
  pc_next_iv_3,
  pc_next_iv_2,
  pc_next_iv_1,
  dout_iv_31,
  dout_iv_30,
  dout_iv_28,
  dout_iv_29,
  dout_iv_14,
  dout_iv_8,
  dout_iv_1,
  dout_iv_0,
  dout_iv_6,
  dout_iv_5,
  dout_iv_13,
  dout_iv_12,
  dout_iv_15,
  dout_iv_17,
  dout_iv_9,
  dout_iv_7,
  dout_iv_11,
  dout_iv_10,
  dout_iv_20,
  dout_iv_16,
  dout_iv_4,
  dout_iv_3,
  dout_iv_2,
  dout_iv_19,
  dout_iv_18,
  dout_iv_21,
  dout_iv_24,
  dout_iv_27,
  dout_iv_23,
  dout_iv_22,
  dout_iv_26,
  dout_iv_25,
  r32_o_31,
  r32_o_30,
  r32_o_28,
  r32_o_29,
  r32_o_14,
  r32_o_8,
  r32_o_1,
  r32_o_0,
  r32_o_6,
  r32_o_5,
  r32_o_13,
  r32_o_12,
  r32_o_15,
  r32_o_17,
  r32_o_9,
  r32_o_7,
  r32_o_11,
  r32_o_10,
  r32_o_20,
  r32_o_16,
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_19,
  r32_o_18,
  r32_o_21,
  r32_o_24,
  r32_o_27,
  r32_o_23,
  r32_o_22,
  r32_o_26,
  r32_o_25,
  pc_next_iv_a_31,
  pc_next_iv_a_30,
  pc_next_iv_a_28,
  pc_next_iv_a_29,
  pc_next_iv_a_14,
  pc_next_iv_a_8,
  pc_next_iv_a_1,
  pc_next_iv_a_0,
  pc_next_iv_a_6,
  pc_next_iv_a_5,
  pc_next_iv_a_13,
  pc_next_iv_a_12,
  pc_next_iv_a_15,
  pc_next_iv_a_17,
  pc_next_iv_a_9,
  pc_next_iv_a_7,
  pc_next_iv_a_11,
  pc_next_iv_a_10,
  pc_next_iv_a_20,
  pc_next_iv_a_4,
  pc_next_iv_a_3,
  pc_next_iv_a_2,
  pc_next_iv_a_19,
  pc_next_iv_a_18,
  pc_next_iv_a_21,
  pc_next_iv_a_24,
  pc_next_iv_a_27,
  pc_next_iv_a_23,
  pc_next_iv_a_22,
  pc_next_iv_a_26,
  pc_next_iv_a_25,
  cmp_ctl_o_1,
  cmp_ctl_o_2,
  cmp_ctl_o_0,
  un1_pc_add0,
  un1_pc_add16,
  un1_pc_add17,
  un1_pc_add18,
  un1_pc_add19,
  un1_pc_add20,
  un1_pc_add21,
  un1_pc_add22,
  un1_pc_add23,
  un1_pc_add24,
  un1_pc_add25,
  un1_pc_add26,
  un1_pc_add27,
  un1_pc_add28,
  un1_pc_add29,
  un1_pc_add30,
  un1_pc_add31,
  un1_pc_add14,
  un1_pc_add8,
  un1_pc_add1,
  un1_pc_add6,
  un1_pc_add5,
  un1_pc_add13,
  un1_pc_add12,
  un1_pc_add15,
  un1_pc_add9,
  un1_pc_add7,
  un1_pc_add11,
  un1_pc_add10,
  un1_pc_add4,
  un1_pc_add3,
  un1_pc_add2,
  un1_pc_next46_0,
  res_5,
  res_2_NE,
  res_3_0
);
input r32_o_1_0 ;
input r32_o_1_1 ;
input r32_o_1_13 ;
input CurrState_Sreg0_i_0 ;
input res_7_0_0_o3_0 ;
input res_7_0_0_0_0 ;
input res_7_0_0_0_1 ;
input res_7_0_0_0_13 ;
input r32_o_0_0 ;
input r32_o_0_3 ;
input r32_o_0_4 ;
input r32_o_0_5 ;
input r32_o_0_6 ;
input r32_o_0_7 ;
input r32_o_0_8 ;
input r32_o_0_9 ;
input r32_o_0_10 ;
input r32_o_0_11 ;
input r32_o_0_12 ;
input r32_o_0_13 ;
input r32_o_0_15 ;
input r32_o_0_16 ;
input r32_o_0_17 ;
input r32_o_0_18 ;
input r32_o_0_19 ;
input r32_o_0_20 ;
input r32_o_0_21 ;
input r32_o_0_22 ;
input r32_o_0_23 ;
input r32_o_0_24 ;
input r32_o_0_25 ;
input r32_o_0_26 ;
input r32_o_0_27 ;
input r32_o_0_28 ;
input r32_o_0_29 ;
input r32_o_0_30 ;
input r32_o_0_31 ;
input r32_o_0_1 ;
input r32_o_0_2 ;
input r32_o_0_14 ;
input pc_prectl_1_i_a2_0_a2_0 ;
input CurrState_Sreg0_ns_0_i_o2_0_0 ;
input CurrState_Sreg0_2 ;
input CurrState_Sreg0_1 ;
input CurrState_Sreg0_5 ;
input CurrState_Sreg0_0 ;
input CurrState_Sreg0_4 ;
input CurrState_Sreg0_3 ;
input CurrState_Sreg0_7 ;
input pc_gen_ctl_o_1 ;
input pc_gen_ctl_o_0 ;
input pc_gen_ctl_o_2 ;
output pc_next_iv_0_0_0 ;
input res_7_0_0_31 ;
input res_7_0_0_28 ;
input res_7_0_0_30 ;
input res_7_0_0_29 ;
input res_7_0_0_0 ;
input res_7_0_0_1 ;
input res_7_0_0_5 ;
input res_7_0_0_6 ;
input res_7_0_0_7 ;
input res_7_0_0_8 ;
input res_7_0_0_9 ;
input res_7_0_0_10 ;
input res_7_0_0_11 ;
input res_7_0_0_12 ;
input res_7_0_0_13 ;
input res_7_0_0_14 ;
input res_7_0_0_15 ;
input res_7_0_0_17 ;
input res_7_0_0_2 ;
input res_7_0_0_3 ;
input res_7_0_0_4 ;
input res_7_0_0_16 ;
input res_7_0_0_18 ;
input res_7_0_0_19 ;
input res_7_0_0_20 ;
input res_7_0_0_21 ;
input res_7_0_0_22 ;
input res_7_0_0_23 ;
input res_7_0_0_24 ;
input res_7_0_0_25 ;
input res_7_0_0_26 ;
input res_7_0_0_27 ;
input irq_addr_o_28 ;
input irq_addr_o_29 ;
input irq_addr_o_30 ;
input irq_addr_o_31 ;
input irq_addr_o_0 ;
input irq_addr_o_1 ;
input irq_addr_o_5 ;
input irq_addr_o_6 ;
input irq_addr_o_7 ;
input irq_addr_o_8 ;
input irq_addr_o_9 ;
input irq_addr_o_10 ;
input irq_addr_o_11 ;
input irq_addr_o_12 ;
input irq_addr_o_13 ;
input irq_addr_o_14 ;
input irq_addr_o_15 ;
input irq_addr_o_17 ;
input irq_addr_o_2 ;
input irq_addr_o_3 ;
input irq_addr_o_4 ;
input irq_addr_o_16 ;
input irq_addr_o_18 ;
input irq_addr_o_19 ;
input irq_addr_o_20 ;
input irq_addr_o_21 ;
input irq_addr_o_22 ;
input irq_addr_o_23 ;
input irq_addr_o_24 ;
input irq_addr_o_25 ;
input irq_addr_o_26 ;
input irq_addr_o_27 ;
output pc_next_iv_0_a_0 ;
output pc_next_iv_0_28 ;
output pc_next_iv_0_29 ;
output pc_next_iv_0_30 ;
output pc_next_iv_0_31 ;
output pc_next_iv_0_0_d0 ;
output pc_next_iv_0_17 ;
output pc_next_iv_0_18 ;
output pc_next_iv_0_19 ;
output pc_next_iv_0_20 ;
output pc_next_iv_0_21 ;
output pc_next_iv_0_22 ;
output pc_next_iv_0_23 ;
output pc_next_iv_0_24 ;
output pc_next_iv_0_25 ;
output pc_next_iv_0_26 ;
output pc_next_iv_0_27 ;
output pc_next_iv_0_14 ;
output pc_next_iv_0_8 ;
output pc_next_iv_0_1 ;
output pc_next_iv_0_6 ;
output pc_next_iv_0_5 ;
output pc_next_iv_0_13 ;
output pc_next_iv_0_12 ;
output pc_next_iv_0_15 ;
output pc_next_iv_0_9 ;
output pc_next_iv_0_7 ;
output pc_next_iv_0_11 ;
output pc_next_iv_0_10 ;
output pc_next_iv_0_4 ;
output pc_next_iv_0_3 ;
output pc_next_iv_0_2 ;
output pc_next_iv_13 ;
output pc_next_iv_7 ;
output pc_next_iv_0 ;
output pc_next_iv_5 ;
output pc_next_iv_4 ;
output pc_next_iv_12 ;
output pc_next_iv_11 ;
output pc_next_iv_14 ;
output pc_next_iv_8 ;
output pc_next_iv_6 ;
output pc_next_iv_10 ;
output pc_next_iv_9 ;
output pc_next_iv_3 ;
output pc_next_iv_2 ;
output pc_next_iv_1 ;
input dout_iv_31 ;
input dout_iv_30 ;
input dout_iv_28 ;
input dout_iv_29 ;
input dout_iv_14 ;
input dout_iv_8 ;
input dout_iv_1 ;
input dout_iv_0 ;
input dout_iv_6 ;
input dout_iv_5 ;
input dout_iv_13 ;
input dout_iv_12 ;
input dout_iv_15 ;
input dout_iv_17 ;
input dout_iv_9 ;
input dout_iv_7 ;
input dout_iv_11 ;
input dout_iv_10 ;
input dout_iv_20 ;
input dout_iv_16 ;
input dout_iv_4 ;
input dout_iv_3 ;
input dout_iv_2 ;
input dout_iv_19 ;
input dout_iv_18 ;
input dout_iv_21 ;
input dout_iv_24 ;
input dout_iv_27 ;
input dout_iv_23 ;
input dout_iv_22 ;
input dout_iv_26 ;
input dout_iv_25 ;
input r32_o_31 ;
input r32_o_30 ;
input r32_o_28 ;
input r32_o_29 ;
input r32_o_14 ;
input r32_o_8 ;
input r32_o_1 ;
input r32_o_0 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_13 ;
input r32_o_12 ;
input r32_o_15 ;
input r32_o_17 ;
input r32_o_9 ;
input r32_o_7 ;
input r32_o_11 ;
input r32_o_10 ;
input r32_o_20 ;
input r32_o_16 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_19 ;
input r32_o_18 ;
input r32_o_21 ;
input r32_o_24 ;
input r32_o_27 ;
input r32_o_23 ;
input r32_o_22 ;
input r32_o_26 ;
input r32_o_25 ;
output pc_next_iv_a_31 ;
output pc_next_iv_a_30 ;
output pc_next_iv_a_28 ;
output pc_next_iv_a_29 ;
output pc_next_iv_a_14 ;
output pc_next_iv_a_8 ;
output pc_next_iv_a_1 ;
output pc_next_iv_a_0 ;
output pc_next_iv_a_6 ;
output pc_next_iv_a_5 ;
output pc_next_iv_a_13 ;
output pc_next_iv_a_12 ;
output pc_next_iv_a_15 ;
output pc_next_iv_a_17 ;
output pc_next_iv_a_9 ;
output pc_next_iv_a_7 ;
output pc_next_iv_a_11 ;
output pc_next_iv_a_10 ;
output pc_next_iv_a_20 ;
output pc_next_iv_a_4 ;
output pc_next_iv_a_3 ;
output pc_next_iv_a_2 ;
output pc_next_iv_a_19 ;
output pc_next_iv_a_18 ;
output pc_next_iv_a_21 ;
output pc_next_iv_a_24 ;
output pc_next_iv_a_27 ;
output pc_next_iv_a_23 ;
output pc_next_iv_a_22 ;
output pc_next_iv_a_26 ;
output pc_next_iv_a_25 ;
input cmp_ctl_o_1 ;
input cmp_ctl_o_2 ;
input cmp_ctl_o_0 ;
output un1_pc_add0 ;
output un1_pc_add16 ;
output un1_pc_add17 ;
output un1_pc_add18 ;
output un1_pc_add19 ;
output un1_pc_add20 ;
output un1_pc_add21 ;
output un1_pc_add22 ;
output un1_pc_add23 ;
output un1_pc_add24 ;
output un1_pc_add25 ;
output un1_pc_add26 ;
output un1_pc_add27 ;
output un1_pc_add28 ;
output un1_pc_add29 ;
output un1_pc_add30 ;
output un1_pc_add31 ;
output un1_pc_add14 ;
output un1_pc_add8 ;
output un1_pc_add1 ;
output un1_pc_add6 ;
output un1_pc_add5 ;
output un1_pc_add13 ;
output un1_pc_add12 ;
output un1_pc_add15 ;
output un1_pc_add9 ;
output un1_pc_add7 ;
output un1_pc_add11 ;
output un1_pc_add10 ;
output un1_pc_add4 ;
output un1_pc_add3 ;
output un1_pc_add2 ;
output un1_pc_next46_0 ;
input res_5 ;
input res_2_NE ;
input res_3_0 ;
wire r32_o_1_0 ;
wire r32_o_1_1 ;
wire r32_o_1_13 ;
wire CurrState_Sreg0_i_0 ;
wire res_7_0_0_o3_0 ;
wire res_7_0_0_0_0 ;
wire res_7_0_0_0_1 ;
wire res_7_0_0_0_13 ;
wire r32_o_0_0 ;
wire r32_o_0_3 ;
wire r32_o_0_4 ;
wire r32_o_0_5 ;
wire r32_o_0_6 ;
wire r32_o_0_7 ;
wire r32_o_0_8 ;
wire r32_o_0_9 ;
wire r32_o_0_10 ;
wire r32_o_0_11 ;
wire r32_o_0_12 ;
wire r32_o_0_13 ;
wire r32_o_0_15 ;
wire r32_o_0_16 ;
wire r32_o_0_17 ;
wire r32_o_0_18 ;
wire r32_o_0_19 ;
wire r32_o_0_20 ;
wire r32_o_0_21 ;
wire r32_o_0_22 ;
wire r32_o_0_23 ;
wire r32_o_0_24 ;
wire r32_o_0_25 ;
wire r32_o_0_26 ;
wire r32_o_0_27 ;
wire r32_o_0_28 ;
wire r32_o_0_29 ;
wire r32_o_0_30 ;
wire r32_o_0_31 ;
wire r32_o_0_1 ;
wire r32_o_0_2 ;
wire r32_o_0_14 ;
wire pc_prectl_1_i_a2_0_a2_0 ;
wire CurrState_Sreg0_ns_0_i_o2_0_0 ;
wire CurrState_Sreg0_2 ;
wire CurrState_Sreg0_1 ;
wire CurrState_Sreg0_5 ;
wire CurrState_Sreg0_0 ;
wire CurrState_Sreg0_4 ;
wire CurrState_Sreg0_3 ;
wire CurrState_Sreg0_7 ;
wire pc_gen_ctl_o_1 ;
wire pc_gen_ctl_o_0 ;
wire pc_gen_ctl_o_2 ;
wire pc_next_iv_0_0_0 ;
wire res_7_0_0_31 ;
wire res_7_0_0_28 ;
wire res_7_0_0_30 ;
wire res_7_0_0_29 ;
wire res_7_0_0_0 ;
wire res_7_0_0_1 ;
wire res_7_0_0_5 ;
wire res_7_0_0_6 ;
wire res_7_0_0_7 ;
wire res_7_0_0_8 ;
wire res_7_0_0_9 ;
wire res_7_0_0_10 ;
wire res_7_0_0_11 ;
wire res_7_0_0_12 ;
wire res_7_0_0_13 ;
wire res_7_0_0_14 ;
wire res_7_0_0_15 ;
wire res_7_0_0_17 ;
wire res_7_0_0_2 ;
wire res_7_0_0_3 ;
wire res_7_0_0_4 ;
wire res_7_0_0_16 ;
wire res_7_0_0_18 ;
wire res_7_0_0_19 ;
wire res_7_0_0_20 ;
wire res_7_0_0_21 ;
wire res_7_0_0_22 ;
wire res_7_0_0_23 ;
wire res_7_0_0_24 ;
wire res_7_0_0_25 ;
wire res_7_0_0_26 ;
wire res_7_0_0_27 ;
wire irq_addr_o_28 ;
wire irq_addr_o_29 ;
wire irq_addr_o_30 ;
wire irq_addr_o_31 ;
wire irq_addr_o_0 ;
wire irq_addr_o_1 ;
wire irq_addr_o_5 ;
wire irq_addr_o_6 ;
wire irq_addr_o_7 ;
wire irq_addr_o_8 ;
wire irq_addr_o_9 ;
wire irq_addr_o_10 ;
wire irq_addr_o_11 ;
wire irq_addr_o_12 ;
wire irq_addr_o_13 ;
wire irq_addr_o_14 ;
wire irq_addr_o_15 ;
wire irq_addr_o_17 ;
wire irq_addr_o_2 ;
wire irq_addr_o_3 ;
wire irq_addr_o_4 ;
wire irq_addr_o_16 ;
wire irq_addr_o_18 ;
wire irq_addr_o_19 ;
wire irq_addr_o_20 ;
wire irq_addr_o_21 ;
wire irq_addr_o_22 ;
wire irq_addr_o_23 ;
wire irq_addr_o_24 ;
wire irq_addr_o_25 ;
wire irq_addr_o_26 ;
wire irq_addr_o_27 ;
wire pc_next_iv_0_a_0 ;
wire pc_next_iv_0_28 ;
wire pc_next_iv_0_29 ;
wire pc_next_iv_0_30 ;
wire pc_next_iv_0_31 ;
wire pc_next_iv_0_0_d0 ;
wire pc_next_iv_0_17 ;
wire pc_next_iv_0_18 ;
wire pc_next_iv_0_19 ;
wire pc_next_iv_0_20 ;
wire pc_next_iv_0_21 ;
wire pc_next_iv_0_22 ;
wire pc_next_iv_0_23 ;
wire pc_next_iv_0_24 ;
wire pc_next_iv_0_25 ;
wire pc_next_iv_0_26 ;
wire pc_next_iv_0_27 ;
wire pc_next_iv_0_14 ;
wire pc_next_iv_0_8 ;
wire pc_next_iv_0_1 ;
wire pc_next_iv_0_6 ;
wire pc_next_iv_0_5 ;
wire pc_next_iv_0_13 ;
wire pc_next_iv_0_12 ;
wire pc_next_iv_0_15 ;
wire pc_next_iv_0_9 ;
wire pc_next_iv_0_7 ;
wire pc_next_iv_0_11 ;
wire pc_next_iv_0_10 ;
wire pc_next_iv_0_4 ;
wire pc_next_iv_0_3 ;
wire pc_next_iv_0_2 ;
wire pc_next_iv_13 ;
wire pc_next_iv_7 ;
wire pc_next_iv_0 ;
wire pc_next_iv_5 ;
wire pc_next_iv_4 ;
wire pc_next_iv_12 ;
wire pc_next_iv_11 ;
wire pc_next_iv_14 ;
wire pc_next_iv_8 ;
wire pc_next_iv_6 ;
wire pc_next_iv_10 ;
wire pc_next_iv_9 ;
wire pc_next_iv_3 ;
wire pc_next_iv_2 ;
wire pc_next_iv_1 ;
wire dout_iv_31 ;
wire dout_iv_30 ;
wire dout_iv_28 ;
wire dout_iv_29 ;
wire dout_iv_14 ;
wire dout_iv_8 ;
wire dout_iv_1 ;
wire dout_iv_0 ;
wire dout_iv_6 ;
wire dout_iv_5 ;
wire dout_iv_13 ;
wire dout_iv_12 ;
wire dout_iv_15 ;
wire dout_iv_17 ;
wire dout_iv_9 ;
wire dout_iv_7 ;
wire dout_iv_11 ;
wire dout_iv_10 ;
wire dout_iv_20 ;
wire dout_iv_16 ;
wire dout_iv_4 ;
wire dout_iv_3 ;
wire dout_iv_2 ;
wire dout_iv_19 ;
wire dout_iv_18 ;
wire dout_iv_21 ;
wire dout_iv_24 ;
wire dout_iv_27 ;
wire dout_iv_23 ;
wire dout_iv_22 ;
wire dout_iv_26 ;
wire dout_iv_25 ;
wire r32_o_31 ;
wire r32_o_30 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_14 ;
wire r32_o_8 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_13 ;
wire r32_o_12 ;
wire r32_o_15 ;
wire r32_o_17 ;
wire r32_o_9 ;
wire r32_o_7 ;
wire r32_o_11 ;
wire r32_o_10 ;
wire r32_o_20 ;
wire r32_o_16 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_19 ;
wire r32_o_18 ;
wire r32_o_21 ;
wire r32_o_24 ;
wire r32_o_27 ;
wire r32_o_23 ;
wire r32_o_22 ;
wire r32_o_26 ;
wire r32_o_25 ;
wire pc_next_iv_a_31 ;
wire pc_next_iv_a_30 ;
wire pc_next_iv_a_28 ;
wire pc_next_iv_a_29 ;
wire pc_next_iv_a_14 ;
wire pc_next_iv_a_8 ;
wire pc_next_iv_a_1 ;
wire pc_next_iv_a_0 ;
wire pc_next_iv_a_6 ;
wire pc_next_iv_a_5 ;
wire pc_next_iv_a_13 ;
wire pc_next_iv_a_12 ;
wire pc_next_iv_a_15 ;
wire pc_next_iv_a_17 ;
wire pc_next_iv_a_9 ;
wire pc_next_iv_a_7 ;
wire pc_next_iv_a_11 ;
wire pc_next_iv_a_10 ;
wire pc_next_iv_a_20 ;
wire pc_next_iv_a_4 ;
wire pc_next_iv_a_3 ;
wire pc_next_iv_a_2 ;
wire pc_next_iv_a_19 ;
wire pc_next_iv_a_18 ;
wire pc_next_iv_a_21 ;
wire pc_next_iv_a_24 ;
wire pc_next_iv_a_27 ;
wire pc_next_iv_a_23 ;
wire pc_next_iv_a_22 ;
wire pc_next_iv_a_26 ;
wire pc_next_iv_a_25 ;
wire cmp_ctl_o_1 ;
wire cmp_ctl_o_2 ;
wire cmp_ctl_o_0 ;
wire un1_pc_add0 ;
wire un1_pc_add16 ;
wire un1_pc_add17 ;
wire un1_pc_add18 ;
wire un1_pc_add19 ;
wire un1_pc_add20 ;
wire un1_pc_add21 ;
wire un1_pc_add22 ;
wire un1_pc_add23 ;
wire un1_pc_add24 ;
wire un1_pc_add25 ;
wire un1_pc_add26 ;
wire un1_pc_add27 ;
wire un1_pc_add28 ;
wire un1_pc_add29 ;
wire un1_pc_add30 ;
wire un1_pc_add31 ;
wire un1_pc_add14 ;
wire un1_pc_add8 ;
wire un1_pc_add1 ;
wire un1_pc_add6 ;
wire un1_pc_add5 ;
wire un1_pc_add13 ;
wire un1_pc_add12 ;
wire un1_pc_add15 ;
wire un1_pc_add9 ;
wire un1_pc_add7 ;
wire un1_pc_add11 ;
wire un1_pc_add10 ;
wire un1_pc_add4 ;
wire un1_pc_add3 ;
wire un1_pc_add2 ;
wire un1_pc_next46_0 ;
wire res_5 ;
wire res_2_NE ;
wire res_3_0 ;
wire [31:0] un1_pc_prectl_1_0_a2;
wire [2:2] un1_pc_prectl_1_i_m2;
wire pc_next_3_sqmuxa_0_a2 ;
wire pc_next_3_sqmuxa_0_a2_0 ;
wire pc_next_3_sqmuxa_0_a2_a ;
wire pc_next_0_sqmuxa_0_a2 ;
wire pc_next_2_sqmuxa_0_a2 ;
wire pc_next47_0_a2 ;
wire pc_next_1_sqmuxa_0_a2 ;
wire pc_next_3_sqmuxa_0_a2_0_a ;
wire pc_next47_0_a4 ;
wire pc_next_0_sqmuxa_0_a2_a ;
wire un1_pc_next46_0_a ;
wire un1_pc_next46_0_m2 ;
wire pc_next_1_sqmuxa_0_a2_a ;
wire un1_pc_carry_30 ;
wire un1_pc_carry_29 ;
wire un1_pc_carry_28 ;
wire un1_pc_carry_27 ;
wire un1_pc_carry_26 ;
wire un1_pc_carry_25 ;
wire un1_pc_carry_24 ;
wire un1_pc_carry_23 ;
wire un1_pc_carry_22 ;
wire un1_pc_carry_21 ;
wire un1_pc_carry_20 ;
wire un1_pc_carry_19 ;
wire un1_pc_carry_18 ;
wire un1_pc_carry_17 ;
wire un1_pc_carry_16 ;
wire un1_pc_carry_15 ;
wire un1_pc_carry_14 ;
wire un1_pc_carry_13 ;
wire un1_pc_carry_12 ;
wire un1_pc_carry_11 ;
wire un1_pc_carry_10 ;
wire un1_pc_carry_9 ;
wire un1_pc_carry_8 ;
wire un1_pc_carry_7 ;
wire un1_pc_carry_6 ;
wire un1_pc_carry_5 ;
wire un1_pc_carry_4 ;
wire un1_pc_carry_3 ;
wire un1_pc_carry_2 ;
wire un1_pc_carry_1 ;
wire un1_pc_carry_0 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @7:77
  cyclone_lcell pc_next_3_sqmuxa_0_a2_cZ (
        .combout(pc_next_3_sqmuxa_0_a2),
        .dataa(cmp_ctl_o_0),
        .datab(pc_next_3_sqmuxa_0_a2_0),
        .datac(res_3_0),
        .datad(pc_next_3_sqmuxa_0_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_3_sqmuxa_0_a2_cZ.operation_mode="normal";
defparam pc_next_3_sqmuxa_0_a2_cZ.output_mode="comb_only";
defparam pc_next_3_sqmuxa_0_a2_cZ.lut_mask="c840";
defparam pc_next_3_sqmuxa_0_a2_cZ.synch_mode="off";
defparam pc_next_3_sqmuxa_0_a2_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_3_sqmuxa_0_a2_a_cZ (
        .combout(pc_next_3_sqmuxa_0_a2_a),
        .dataa(cmp_ctl_o_2),
        .datab(cmp_ctl_o_1),
        .datac(res_2_NE),
        .datad(res_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_3_sqmuxa_0_a2_a_cZ.operation_mode="normal";
defparam pc_next_3_sqmuxa_0_a2_a_cZ.output_mode="comb_only";
defparam pc_next_3_sqmuxa_0_a2_a_cZ.lut_mask="2345";
defparam pc_next_3_sqmuxa_0_a2_a_cZ.synch_mode="off";
defparam pc_next_3_sqmuxa_0_a2_a_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_25_ (
        .combout(pc_next_iv_a_25),
        .dataa(r32_o_25),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_25_.operation_mode="normal";
defparam pc_next_iv_a_25_.output_mode="comb_only";
defparam pc_next_iv_a_25_.lut_mask="0777";
defparam pc_next_iv_a_25_.synch_mode="off";
defparam pc_next_iv_a_25_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_26_ (
        .combout(pc_next_iv_a_26),
        .dataa(r32_o_26),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_26_.operation_mode="normal";
defparam pc_next_iv_a_26_.output_mode="comb_only";
defparam pc_next_iv_a_26_.lut_mask="0777";
defparam pc_next_iv_a_26_.synch_mode="off";
defparam pc_next_iv_a_26_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_22_ (
        .combout(pc_next_iv_a_22),
        .dataa(r32_o_22),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_22_.operation_mode="normal";
defparam pc_next_iv_a_22_.output_mode="comb_only";
defparam pc_next_iv_a_22_.lut_mask="0777";
defparam pc_next_iv_a_22_.synch_mode="off";
defparam pc_next_iv_a_22_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_23_ (
        .combout(pc_next_iv_a_23),
        .dataa(r32_o_23),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_23_.operation_mode="normal";
defparam pc_next_iv_a_23_.output_mode="comb_only";
defparam pc_next_iv_a_23_.lut_mask="0777";
defparam pc_next_iv_a_23_.synch_mode="off";
defparam pc_next_iv_a_23_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_27_ (
        .combout(pc_next_iv_a_27),
        .dataa(r32_o_27),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_27_.operation_mode="normal";
defparam pc_next_iv_a_27_.output_mode="comb_only";
defparam pc_next_iv_a_27_.lut_mask="0777";
defparam pc_next_iv_a_27_.synch_mode="off";
defparam pc_next_iv_a_27_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_24_ (
        .combout(pc_next_iv_a_24),
        .dataa(r32_o_24),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_24_.operation_mode="normal";
defparam pc_next_iv_a_24_.output_mode="comb_only";
defparam pc_next_iv_a_24_.lut_mask="0777";
defparam pc_next_iv_a_24_.synch_mode="off";
defparam pc_next_iv_a_24_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_21_ (
        .combout(pc_next_iv_a_21),
        .dataa(r32_o_21),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_21_.operation_mode="normal";
defparam pc_next_iv_a_21_.output_mode="comb_only";
defparam pc_next_iv_a_21_.lut_mask="0777";
defparam pc_next_iv_a_21_.synch_mode="off";
defparam pc_next_iv_a_21_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_18_ (
        .combout(pc_next_iv_a_18),
        .dataa(r32_o_18),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_18_.operation_mode="normal";
defparam pc_next_iv_a_18_.output_mode="comb_only";
defparam pc_next_iv_a_18_.lut_mask="0777";
defparam pc_next_iv_a_18_.synch_mode="off";
defparam pc_next_iv_a_18_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_19_ (
        .combout(pc_next_iv_a_19),
        .dataa(r32_o_19),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_19_.operation_mode="normal";
defparam pc_next_iv_a_19_.output_mode="comb_only";
defparam pc_next_iv_a_19_.lut_mask="0777";
defparam pc_next_iv_a_19_.synch_mode="off";
defparam pc_next_iv_a_19_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_2_ (
        .combout(pc_next_iv_1),
        .dataa(pc_next_iv_0_2),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_2),
        .datad(un1_pc_add2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_2_.operation_mode="normal";
defparam pc_next_iv_2_.output_mode="comb_only";
defparam pc_next_iv_2_.lut_mask="efaf";
defparam pc_next_iv_2_.synch_mode="off";
defparam pc_next_iv_2_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_2_ (
        .combout(pc_next_iv_a_2),
        .dataa(r32_o_2),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_2_.operation_mode="normal";
defparam pc_next_iv_a_2_.output_mode="comb_only";
defparam pc_next_iv_a_2_.lut_mask="0777";
defparam pc_next_iv_a_2_.synch_mode="off";
defparam pc_next_iv_a_2_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_3_ (
        .combout(pc_next_iv_2),
        .dataa(pc_next_iv_0_3),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_3),
        .datad(un1_pc_add3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_3_.operation_mode="normal";
defparam pc_next_iv_3_.output_mode="comb_only";
defparam pc_next_iv_3_.lut_mask="efaf";
defparam pc_next_iv_3_.synch_mode="off";
defparam pc_next_iv_3_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_3_ (
        .combout(pc_next_iv_a_3),
        .dataa(r32_o_3),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_3_.operation_mode="normal";
defparam pc_next_iv_a_3_.output_mode="comb_only";
defparam pc_next_iv_a_3_.lut_mask="0777";
defparam pc_next_iv_a_3_.synch_mode="off";
defparam pc_next_iv_a_3_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_4_ (
        .combout(pc_next_iv_3),
        .dataa(pc_next_iv_0_4),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_4),
        .datad(un1_pc_add4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_4_.operation_mode="normal";
defparam pc_next_iv_4_.output_mode="comb_only";
defparam pc_next_iv_4_.lut_mask="efaf";
defparam pc_next_iv_4_.synch_mode="off";
defparam pc_next_iv_4_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_4_ (
        .combout(pc_next_iv_a_4),
        .dataa(r32_o_4),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_4_.operation_mode="normal";
defparam pc_next_iv_a_4_.output_mode="comb_only";
defparam pc_next_iv_a_4_.lut_mask="0777";
defparam pc_next_iv_a_4_.synch_mode="off";
defparam pc_next_iv_a_4_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_a_16_ (
        .combout(pc_next_iv_0_a_0),
        .dataa(r32_o_16),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_a_16_.operation_mode="normal";
defparam pc_next_iv_0_a_16_.output_mode="comb_only";
defparam pc_next_iv_0_a_16_.lut_mask="0777";
defparam pc_next_iv_0_a_16_.synch_mode="off";
defparam pc_next_iv_0_a_16_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_20_ (
        .combout(pc_next_iv_a_20),
        .dataa(r32_o_20),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_20_.operation_mode="normal";
defparam pc_next_iv_a_20_.output_mode="comb_only";
defparam pc_next_iv_a_20_.lut_mask="0777";
defparam pc_next_iv_a_20_.synch_mode="off";
defparam pc_next_iv_a_20_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_10_ (
        .combout(pc_next_iv_9),
        .dataa(pc_next_iv_0_10),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_10),
        .datad(un1_pc_add10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_10_.operation_mode="normal";
defparam pc_next_iv_10_.output_mode="comb_only";
defparam pc_next_iv_10_.lut_mask="efaf";
defparam pc_next_iv_10_.synch_mode="off";
defparam pc_next_iv_10_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_10_ (
        .combout(pc_next_iv_a_10),
        .dataa(r32_o_10),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_10_.operation_mode="normal";
defparam pc_next_iv_a_10_.output_mode="comb_only";
defparam pc_next_iv_a_10_.lut_mask="0777";
defparam pc_next_iv_a_10_.synch_mode="off";
defparam pc_next_iv_a_10_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_11_ (
        .combout(pc_next_iv_10),
        .dataa(pc_next_iv_0_11),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_11),
        .datad(un1_pc_add11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_11_.operation_mode="normal";
defparam pc_next_iv_11_.output_mode="comb_only";
defparam pc_next_iv_11_.lut_mask="efaf";
defparam pc_next_iv_11_.synch_mode="off";
defparam pc_next_iv_11_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_11_ (
        .combout(pc_next_iv_a_11),
        .dataa(r32_o_11),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_11_.operation_mode="normal";
defparam pc_next_iv_a_11_.output_mode="comb_only";
defparam pc_next_iv_a_11_.lut_mask="0777";
defparam pc_next_iv_a_11_.synch_mode="off";
defparam pc_next_iv_a_11_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_7_ (
        .combout(pc_next_iv_6),
        .dataa(pc_next_iv_0_7),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_7),
        .datad(un1_pc_add7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_7_.operation_mode="normal";
defparam pc_next_iv_7_.output_mode="comb_only";
defparam pc_next_iv_7_.lut_mask="efaf";
defparam pc_next_iv_7_.synch_mode="off";
defparam pc_next_iv_7_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_7_ (
        .combout(pc_next_iv_a_7),
        .dataa(r32_o_7),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_7_.operation_mode="normal";
defparam pc_next_iv_a_7_.output_mode="comb_only";
defparam pc_next_iv_a_7_.lut_mask="0777";
defparam pc_next_iv_a_7_.synch_mode="off";
defparam pc_next_iv_a_7_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_9_ (
        .combout(pc_next_iv_8),
        .dataa(pc_next_iv_0_9),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_9),
        .datad(un1_pc_add9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_9_.operation_mode="normal";
defparam pc_next_iv_9_.output_mode="comb_only";
defparam pc_next_iv_9_.lut_mask="efaf";
defparam pc_next_iv_9_.synch_mode="off";
defparam pc_next_iv_9_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_9_ (
        .combout(pc_next_iv_a_9),
        .dataa(r32_o_9),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_9_.operation_mode="normal";
defparam pc_next_iv_a_9_.output_mode="comb_only";
defparam pc_next_iv_a_9_.lut_mask="0777";
defparam pc_next_iv_a_9_.synch_mode="off";
defparam pc_next_iv_a_9_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_17_ (
        .combout(pc_next_iv_a_17),
        .dataa(r32_o_17),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_17_.operation_mode="normal";
defparam pc_next_iv_a_17_.output_mode="comb_only";
defparam pc_next_iv_a_17_.lut_mask="0777";
defparam pc_next_iv_a_17_.synch_mode="off";
defparam pc_next_iv_a_17_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_15_ (
        .combout(pc_next_iv_14),
        .dataa(pc_next_iv_0_15),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_15),
        .datad(un1_pc_add15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_15_.operation_mode="normal";
defparam pc_next_iv_15_.output_mode="comb_only";
defparam pc_next_iv_15_.lut_mask="efaf";
defparam pc_next_iv_15_.synch_mode="off";
defparam pc_next_iv_15_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_15_ (
        .combout(pc_next_iv_a_15),
        .dataa(r32_o_15),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_15_.operation_mode="normal";
defparam pc_next_iv_a_15_.output_mode="comb_only";
defparam pc_next_iv_a_15_.lut_mask="0777";
defparam pc_next_iv_a_15_.synch_mode="off";
defparam pc_next_iv_a_15_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_12_ (
        .combout(pc_next_iv_11),
        .dataa(pc_next_iv_0_12),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_12),
        .datad(un1_pc_add12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_12_.operation_mode="normal";
defparam pc_next_iv_12_.output_mode="comb_only";
defparam pc_next_iv_12_.lut_mask="efaf";
defparam pc_next_iv_12_.synch_mode="off";
defparam pc_next_iv_12_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_12_ (
        .combout(pc_next_iv_a_12),
        .dataa(r32_o_12),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_12_.operation_mode="normal";
defparam pc_next_iv_a_12_.output_mode="comb_only";
defparam pc_next_iv_a_12_.lut_mask="0777";
defparam pc_next_iv_a_12_.synch_mode="off";
defparam pc_next_iv_a_12_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_13_ (
        .combout(pc_next_iv_12),
        .dataa(pc_next_iv_0_13),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_13),
        .datad(un1_pc_add13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_13_.operation_mode="normal";
defparam pc_next_iv_13_.output_mode="comb_only";
defparam pc_next_iv_13_.lut_mask="efaf";
defparam pc_next_iv_13_.synch_mode="off";
defparam pc_next_iv_13_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_13_ (
        .combout(pc_next_iv_a_13),
        .dataa(r32_o_13),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_13_.operation_mode="normal";
defparam pc_next_iv_a_13_.output_mode="comb_only";
defparam pc_next_iv_a_13_.lut_mask="0777";
defparam pc_next_iv_a_13_.synch_mode="off";
defparam pc_next_iv_a_13_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_5_ (
        .combout(pc_next_iv_4),
        .dataa(pc_next_iv_0_5),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_5),
        .datad(un1_pc_add5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_5_.operation_mode="normal";
defparam pc_next_iv_5_.output_mode="comb_only";
defparam pc_next_iv_5_.lut_mask="efaf";
defparam pc_next_iv_5_.synch_mode="off";
defparam pc_next_iv_5_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_5_ (
        .combout(pc_next_iv_a_5),
        .dataa(r32_o_5),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_5_.operation_mode="normal";
defparam pc_next_iv_a_5_.output_mode="comb_only";
defparam pc_next_iv_a_5_.lut_mask="0777";
defparam pc_next_iv_a_5_.synch_mode="off";
defparam pc_next_iv_a_5_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_6_ (
        .combout(pc_next_iv_5),
        .dataa(pc_next_iv_0_6),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_6),
        .datad(un1_pc_add6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_6_.operation_mode="normal";
defparam pc_next_iv_6_.output_mode="comb_only";
defparam pc_next_iv_6_.lut_mask="efaf";
defparam pc_next_iv_6_.synch_mode="off";
defparam pc_next_iv_6_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_6_ (
        .combout(pc_next_iv_a_6),
        .dataa(r32_o_6),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_6_.operation_mode="normal";
defparam pc_next_iv_a_6_.output_mode="comb_only";
defparam pc_next_iv_a_6_.lut_mask="0777";
defparam pc_next_iv_a_6_.synch_mode="off";
defparam pc_next_iv_a_6_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_0_ (
        .combout(pc_next_iv_a_0),
        .dataa(r32_o_0),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_0_.operation_mode="normal";
defparam pc_next_iv_a_0_.output_mode="comb_only";
defparam pc_next_iv_a_0_.lut_mask="0777";
defparam pc_next_iv_a_0_.synch_mode="off";
defparam pc_next_iv_a_0_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_1_ (
        .combout(pc_next_iv_0),
        .dataa(pc_next_iv_0_1),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_1),
        .datad(un1_pc_add1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_1_.operation_mode="normal";
defparam pc_next_iv_1_.output_mode="comb_only";
defparam pc_next_iv_1_.lut_mask="efaf";
defparam pc_next_iv_1_.synch_mode="off";
defparam pc_next_iv_1_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_1_ (
        .combout(pc_next_iv_a_1),
        .dataa(r32_o_1),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_1_.operation_mode="normal";
defparam pc_next_iv_a_1_.output_mode="comb_only";
defparam pc_next_iv_a_1_.lut_mask="0777";
defparam pc_next_iv_a_1_.synch_mode="off";
defparam pc_next_iv_a_1_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_8_ (
        .combout(pc_next_iv_7),
        .dataa(pc_next_iv_0_8),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_8),
        .datad(un1_pc_add8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_8_.operation_mode="normal";
defparam pc_next_iv_8_.output_mode="comb_only";
defparam pc_next_iv_8_.lut_mask="efaf";
defparam pc_next_iv_8_.synch_mode="off";
defparam pc_next_iv_8_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_8_ (
        .combout(pc_next_iv_a_8),
        .dataa(r32_o_8),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_8_.operation_mode="normal";
defparam pc_next_iv_a_8_.output_mode="comb_only";
defparam pc_next_iv_a_8_.lut_mask="0777";
defparam pc_next_iv_a_8_.synch_mode="off";
defparam pc_next_iv_a_8_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_14_ (
        .combout(pc_next_iv_13),
        .dataa(pc_next_iv_0_14),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_14),
        .datad(un1_pc_add14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_14_.operation_mode="normal";
defparam pc_next_iv_14_.output_mode="comb_only";
defparam pc_next_iv_14_.lut_mask="efaf";
defparam pc_next_iv_14_.synch_mode="off";
defparam pc_next_iv_14_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_14_ (
        .combout(pc_next_iv_a_14),
        .dataa(r32_o_14),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_14_.operation_mode="normal";
defparam pc_next_iv_a_14_.output_mode="comb_only";
defparam pc_next_iv_a_14_.lut_mask="0777";
defparam pc_next_iv_a_14_.synch_mode="off";
defparam pc_next_iv_a_14_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_27_ (
        .combout(pc_next_iv_0_27),
        .dataa(irq_addr_o_27),
        .datab(res_7_0_0_27),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_27_.operation_mode="normal";
defparam pc_next_iv_0_27_.output_mode="comb_only";
defparam pc_next_iv_0_27_.lut_mask="eca0";
defparam pc_next_iv_0_27_.synch_mode="off";
defparam pc_next_iv_0_27_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_26_ (
        .combout(pc_next_iv_0_26),
        .dataa(irq_addr_o_26),
        .datab(res_7_0_0_26),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_26_.operation_mode="normal";
defparam pc_next_iv_0_26_.output_mode="comb_only";
defparam pc_next_iv_0_26_.lut_mask="eca0";
defparam pc_next_iv_0_26_.synch_mode="off";
defparam pc_next_iv_0_26_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_25_ (
        .combout(pc_next_iv_0_25),
        .dataa(irq_addr_o_25),
        .datab(res_7_0_0_25),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_25_.operation_mode="normal";
defparam pc_next_iv_0_25_.output_mode="comb_only";
defparam pc_next_iv_0_25_.lut_mask="eca0";
defparam pc_next_iv_0_25_.synch_mode="off";
defparam pc_next_iv_0_25_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_24_ (
        .combout(pc_next_iv_0_24),
        .dataa(irq_addr_o_24),
        .datab(res_7_0_0_24),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_24_.operation_mode="normal";
defparam pc_next_iv_0_24_.output_mode="comb_only";
defparam pc_next_iv_0_24_.lut_mask="eca0";
defparam pc_next_iv_0_24_.synch_mode="off";
defparam pc_next_iv_0_24_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_23_ (
        .combout(pc_next_iv_0_23),
        .dataa(irq_addr_o_23),
        .datab(res_7_0_0_23),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_23_.operation_mode="normal";
defparam pc_next_iv_0_23_.output_mode="comb_only";
defparam pc_next_iv_0_23_.lut_mask="eca0";
defparam pc_next_iv_0_23_.synch_mode="off";
defparam pc_next_iv_0_23_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_22_ (
        .combout(pc_next_iv_0_22),
        .dataa(irq_addr_o_22),
        .datab(res_7_0_0_22),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_22_.operation_mode="normal";
defparam pc_next_iv_0_22_.output_mode="comb_only";
defparam pc_next_iv_0_22_.lut_mask="eca0";
defparam pc_next_iv_0_22_.synch_mode="off";
defparam pc_next_iv_0_22_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_21_ (
        .combout(pc_next_iv_0_21),
        .dataa(irq_addr_o_21),
        .datab(res_7_0_0_21),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_21_.operation_mode="normal";
defparam pc_next_iv_0_21_.output_mode="comb_only";
defparam pc_next_iv_0_21_.lut_mask="eca0";
defparam pc_next_iv_0_21_.synch_mode="off";
defparam pc_next_iv_0_21_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_20_ (
        .combout(pc_next_iv_0_20),
        .dataa(irq_addr_o_20),
        .datab(res_7_0_0_20),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_20_.operation_mode="normal";
defparam pc_next_iv_0_20_.output_mode="comb_only";
defparam pc_next_iv_0_20_.lut_mask="eca0";
defparam pc_next_iv_0_20_.synch_mode="off";
defparam pc_next_iv_0_20_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_19_ (
        .combout(pc_next_iv_0_19),
        .dataa(irq_addr_o_19),
        .datab(res_7_0_0_19),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_19_.operation_mode="normal";
defparam pc_next_iv_0_19_.output_mode="comb_only";
defparam pc_next_iv_0_19_.lut_mask="eca0";
defparam pc_next_iv_0_19_.synch_mode="off";
defparam pc_next_iv_0_19_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_18_ (
        .combout(pc_next_iv_0_18),
        .dataa(irq_addr_o_18),
        .datab(res_7_0_0_18),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_18_.operation_mode="normal";
defparam pc_next_iv_0_18_.output_mode="comb_only";
defparam pc_next_iv_0_18_.lut_mask="eca0";
defparam pc_next_iv_0_18_.synch_mode="off";
defparam pc_next_iv_0_18_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_0_16_ (
        .combout(pc_next_iv_0_0_0),
        .dataa(irq_addr_o_16),
        .datab(res_7_0_0_16),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_0_16_.operation_mode="normal";
defparam pc_next_iv_0_0_16_.output_mode="comb_only";
defparam pc_next_iv_0_0_16_.lut_mask="eca0";
defparam pc_next_iv_0_0_16_.synch_mode="off";
defparam pc_next_iv_0_0_16_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_4_ (
        .combout(pc_next_iv_0_4),
        .dataa(irq_addr_o_4),
        .datab(res_7_0_0_4),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_4_.operation_mode="normal";
defparam pc_next_iv_0_4_.output_mode="comb_only";
defparam pc_next_iv_0_4_.lut_mask="eca0";
defparam pc_next_iv_0_4_.synch_mode="off";
defparam pc_next_iv_0_4_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_3_ (
        .combout(pc_next_iv_0_3),
        .dataa(irq_addr_o_3),
        .datab(res_7_0_0_3),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_3_.operation_mode="normal";
defparam pc_next_iv_0_3_.output_mode="comb_only";
defparam pc_next_iv_0_3_.lut_mask="eca0";
defparam pc_next_iv_0_3_.synch_mode="off";
defparam pc_next_iv_0_3_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_2_ (
        .combout(pc_next_iv_0_2),
        .dataa(irq_addr_o_2),
        .datab(res_7_0_0_2),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_2_.operation_mode="normal";
defparam pc_next_iv_0_2_.output_mode="comb_only";
defparam pc_next_iv_0_2_.lut_mask="eca0";
defparam pc_next_iv_0_2_.synch_mode="off";
defparam pc_next_iv_0_2_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_29_ (
        .combout(pc_next_iv_a_29),
        .dataa(r32_o_29),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_29_.operation_mode="normal";
defparam pc_next_iv_a_29_.output_mode="comb_only";
defparam pc_next_iv_a_29_.lut_mask="0777";
defparam pc_next_iv_a_29_.synch_mode="off";
defparam pc_next_iv_a_29_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_28_ (
        .combout(pc_next_iv_a_28),
        .dataa(r32_o_28),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_28_.operation_mode="normal";
defparam pc_next_iv_a_28_.output_mode="comb_only";
defparam pc_next_iv_a_28_.lut_mask="0777";
defparam pc_next_iv_a_28_.synch_mode="off";
defparam pc_next_iv_a_28_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_30_ (
        .combout(pc_next_iv_a_30),
        .dataa(r32_o_30),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_30_.operation_mode="normal";
defparam pc_next_iv_a_30_.output_mode="comb_only";
defparam pc_next_iv_a_30_.lut_mask="0777";
defparam pc_next_iv_a_30_.synch_mode="off";
defparam pc_next_iv_a_30_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_17_ (
        .combout(pc_next_iv_0_17),
        .dataa(irq_addr_o_17),
        .datab(res_7_0_0_17),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_17_.operation_mode="normal";
defparam pc_next_iv_0_17_.output_mode="comb_only";
defparam pc_next_iv_0_17_.lut_mask="eca0";
defparam pc_next_iv_0_17_.synch_mode="off";
defparam pc_next_iv_0_17_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_15_ (
        .combout(pc_next_iv_0_15),
        .dataa(irq_addr_o_15),
        .datab(res_7_0_0_15),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_15_.operation_mode="normal";
defparam pc_next_iv_0_15_.output_mode="comb_only";
defparam pc_next_iv_0_15_.lut_mask="eca0";
defparam pc_next_iv_0_15_.synch_mode="off";
defparam pc_next_iv_0_15_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_14_ (
        .combout(pc_next_iv_0_14),
        .dataa(irq_addr_o_14),
        .datab(res_7_0_0_14),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_14_.operation_mode="normal";
defparam pc_next_iv_0_14_.output_mode="comb_only";
defparam pc_next_iv_0_14_.lut_mask="eca0";
defparam pc_next_iv_0_14_.synch_mode="off";
defparam pc_next_iv_0_14_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_13_ (
        .combout(pc_next_iv_0_13),
        .dataa(irq_addr_o_13),
        .datab(res_7_0_0_13),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_13_.operation_mode="normal";
defparam pc_next_iv_0_13_.output_mode="comb_only";
defparam pc_next_iv_0_13_.lut_mask="eca0";
defparam pc_next_iv_0_13_.synch_mode="off";
defparam pc_next_iv_0_13_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_12_ (
        .combout(pc_next_iv_0_12),
        .dataa(irq_addr_o_12),
        .datab(res_7_0_0_12),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_12_.operation_mode="normal";
defparam pc_next_iv_0_12_.output_mode="comb_only";
defparam pc_next_iv_0_12_.lut_mask="eca0";
defparam pc_next_iv_0_12_.synch_mode="off";
defparam pc_next_iv_0_12_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_11_ (
        .combout(pc_next_iv_0_11),
        .dataa(irq_addr_o_11),
        .datab(res_7_0_0_11),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_11_.operation_mode="normal";
defparam pc_next_iv_0_11_.output_mode="comb_only";
defparam pc_next_iv_0_11_.lut_mask="eca0";
defparam pc_next_iv_0_11_.synch_mode="off";
defparam pc_next_iv_0_11_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_10_ (
        .combout(pc_next_iv_0_10),
        .dataa(irq_addr_o_10),
        .datab(res_7_0_0_10),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_10_.operation_mode="normal";
defparam pc_next_iv_0_10_.output_mode="comb_only";
defparam pc_next_iv_0_10_.lut_mask="eca0";
defparam pc_next_iv_0_10_.synch_mode="off";
defparam pc_next_iv_0_10_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_9_ (
        .combout(pc_next_iv_0_9),
        .dataa(irq_addr_o_9),
        .datab(res_7_0_0_9),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_9_.operation_mode="normal";
defparam pc_next_iv_0_9_.output_mode="comb_only";
defparam pc_next_iv_0_9_.lut_mask="eca0";
defparam pc_next_iv_0_9_.synch_mode="off";
defparam pc_next_iv_0_9_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_8_ (
        .combout(pc_next_iv_0_8),
        .dataa(irq_addr_o_8),
        .datab(res_7_0_0_8),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_8_.operation_mode="normal";
defparam pc_next_iv_0_8_.output_mode="comb_only";
defparam pc_next_iv_0_8_.lut_mask="eca0";
defparam pc_next_iv_0_8_.synch_mode="off";
defparam pc_next_iv_0_8_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_7_ (
        .combout(pc_next_iv_0_7),
        .dataa(irq_addr_o_7),
        .datab(res_7_0_0_7),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_7_.operation_mode="normal";
defparam pc_next_iv_0_7_.output_mode="comb_only";
defparam pc_next_iv_0_7_.lut_mask="eca0";
defparam pc_next_iv_0_7_.synch_mode="off";
defparam pc_next_iv_0_7_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_6_ (
        .combout(pc_next_iv_0_6),
        .dataa(irq_addr_o_6),
        .datab(res_7_0_0_6),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_6_.operation_mode="normal";
defparam pc_next_iv_0_6_.output_mode="comb_only";
defparam pc_next_iv_0_6_.lut_mask="eca0";
defparam pc_next_iv_0_6_.synch_mode="off";
defparam pc_next_iv_0_6_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_5_ (
        .combout(pc_next_iv_0_5),
        .dataa(irq_addr_o_5),
        .datab(res_7_0_0_5),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_5_.operation_mode="normal";
defparam pc_next_iv_0_5_.output_mode="comb_only";
defparam pc_next_iv_0_5_.lut_mask="eca0";
defparam pc_next_iv_0_5_.synch_mode="off";
defparam pc_next_iv_0_5_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_1_ (
        .combout(pc_next_iv_0_1),
        .dataa(irq_addr_o_1),
        .datab(res_7_0_0_1),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_1_.operation_mode="normal";
defparam pc_next_iv_0_1_.output_mode="comb_only";
defparam pc_next_iv_0_1_.lut_mask="eca0";
defparam pc_next_iv_0_1_.synch_mode="off";
defparam pc_next_iv_0_1_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_0_ (
        .combout(pc_next_iv_0_0_d0),
        .dataa(irq_addr_o_0),
        .datab(res_7_0_0_0),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_0_.operation_mode="normal";
defparam pc_next_iv_0_0_.output_mode="comb_only";
defparam pc_next_iv_0_0_.lut_mask="eca0";
defparam pc_next_iv_0_0_.synch_mode="off";
defparam pc_next_iv_0_0_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_3_sqmuxa_0_a2_0_cZ (
        .combout(pc_next_3_sqmuxa_0_a2_0),
        .dataa(pc_gen_ctl_o_2),
        .datab(pc_gen_ctl_o_0),
        .datac(pc_next_3_sqmuxa_0_a2_0_a),
        .datad(pc_next47_0_a4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_3_sqmuxa_0_a2_0_cZ.operation_mode="normal";
defparam pc_next_3_sqmuxa_0_a2_0_cZ.output_mode="comb_only";
defparam pc_next_3_sqmuxa_0_a2_0_cZ.lut_mask="2000";
defparam pc_next_3_sqmuxa_0_a2_0_cZ.synch_mode="off";
defparam pc_next_3_sqmuxa_0_a2_0_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_3_sqmuxa_0_a2_0_a_cZ (
        .combout(pc_next_3_sqmuxa_0_a2_0_a),
        .dataa(CurrState_Sreg0_7),
        .datab(CurrState_Sreg0_3),
        .datac(CurrState_Sreg0_4),
        .datad(CurrState_Sreg0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_3_sqmuxa_0_a2_0_a_cZ.operation_mode="normal";
defparam pc_next_3_sqmuxa_0_a2_0_a_cZ.output_mode="comb_only";
defparam pc_next_3_sqmuxa_0_a2_0_a_cZ.lut_mask="0f0e";
defparam pc_next_3_sqmuxa_0_a2_0_a_cZ.synch_mode="off";
defparam pc_next_3_sqmuxa_0_a2_0_a_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_0_sqmuxa_0_a2_cZ (
        .combout(pc_next_0_sqmuxa_0_a2),
        .dataa(pc_gen_ctl_o_0),
        .datab(pc_gen_ctl_o_2),
        .datac(pc_next47_0_a4),
        .datad(pc_next_0_sqmuxa_0_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_0_sqmuxa_0_a2_cZ.operation_mode="normal";
defparam pc_next_0_sqmuxa_0_a2_cZ.output_mode="comb_only";
defparam pc_next_0_sqmuxa_0_a2_cZ.lut_mask="4000";
defparam pc_next_0_sqmuxa_0_a2_cZ.synch_mode="off";
defparam pc_next_0_sqmuxa_0_a2_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_0_sqmuxa_0_a2_a_cZ (
        .combout(pc_next_0_sqmuxa_0_a2_a),
        .dataa(pc_gen_ctl_o_1),
        .datab(CurrState_Sreg0_3),
        .datac(CurrState_Sreg0_4),
        .datad(CurrState_Sreg0_ns_0_i_o2_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_0_sqmuxa_0_a2_a_cZ.operation_mode="normal";
defparam pc_next_0_sqmuxa_0_a2_a_cZ.output_mode="comb_only";
defparam pc_next_0_sqmuxa_0_a2_a_cZ.lut_mask="0a08";
defparam pc_next_0_sqmuxa_0_a2_a_cZ.synch_mode="off";
defparam pc_next_0_sqmuxa_0_a2_a_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_2_sqmuxa_0_a2_cZ (
        .combout(pc_next_2_sqmuxa_0_a2),
        .dataa(pc_gen_ctl_o_0),
        .datab(pc_gen_ctl_o_2),
        .datac(pc_next47_0_a4),
        .datad(pc_next_0_sqmuxa_0_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_2_sqmuxa_0_a2_cZ.operation_mode="normal";
defparam pc_next_2_sqmuxa_0_a2_cZ.output_mode="comb_only";
defparam pc_next_2_sqmuxa_0_a2_cZ.lut_mask="1000";
defparam pc_next_2_sqmuxa_0_a2_cZ.synch_mode="off";
defparam pc_next_2_sqmuxa_0_a2_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_21_ (
        .combout(un1_pc_prectl_1_0_a2[21]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_21),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_21_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_21_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_21_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_21_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_21_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_22_ (
        .combout(un1_pc_prectl_1_0_a2[22]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_22),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_22_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_22_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_22_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_22_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_22_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_23_ (
        .combout(un1_pc_prectl_1_0_a2[23]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_23),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_23_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_23_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_23_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_23_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_23_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_24_ (
        .combout(un1_pc_prectl_1_0_a2[24]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_24),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_24_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_24_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_24_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_24_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_24_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_26_ (
        .combout(un1_pc_prectl_1_0_a2[26]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_26),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_26_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_26_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_26_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_26_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_26_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_27_ (
        .combout(un1_pc_prectl_1_0_a2[27]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_27),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_27_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_27_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_27_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_27_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_27_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_i_m2_2_ (
        .combout(un1_pc_prectl_1_i_m2[2]),
        .dataa(CurrState_Sreg0_3),
        .datab(CurrState_Sreg0_ns_0_i_o2_0_0),
        .datac(res_7_0_0_2),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_i_m2_2_.operation_mode="normal";
defparam un1_pc_prectl_1_i_m2_2_.output_mode="comb_only";
defparam un1_pc_prectl_1_i_m2_2_.lut_mask="f0ee";
defparam un1_pc_prectl_1_i_m2_2_.synch_mode="off";
defparam un1_pc_prectl_1_i_m2_2_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_next46_0_cZ (
        .combout(un1_pc_next46_0),
        .dataa(CurrState_Sreg0_5),
        .datab(CurrState_Sreg0_4),
        .datac(un1_pc_next46_0_a),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_next46_0_cZ.operation_mode="normal";
defparam un1_pc_next46_0_cZ.output_mode="comb_only";
defparam un1_pc_next46_0_cZ.lut_mask="0101";
defparam un1_pc_next46_0_cZ.synch_mode="off";
defparam un1_pc_next46_0_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_next46_0_a_cZ (
        .combout(un1_pc_next46_0_a),
        .dataa(CurrState_Sreg0_3),
        .datab(un1_pc_next46_0_m2),
        .datac(pc_prectl_1_i_a2_0_a2_0),
        .datad(CurrState_Sreg0_ns_0_i_o2_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_next46_0_a_cZ.operation_mode="normal";
defparam un1_pc_next46_0_a_cZ.output_mode="comb_only";
defparam un1_pc_next46_0_a_cZ.lut_mask="3f7a";
defparam un1_pc_next46_0_a_cZ.synch_mode="off";
defparam un1_pc_next46_0_a_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_25_ (
        .combout(un1_pc_prectl_1_0_a2[25]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_25),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_25_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_25_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_25_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_25_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_25_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_20_ (
        .combout(un1_pc_prectl_1_0_a2[20]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_20),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_20_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_20_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_20_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_20_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_20_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_19_ (
        .combout(un1_pc_prectl_1_0_a2[19]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_19),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_19_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_19_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_19_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_19_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_19_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_18_ (
        .combout(un1_pc_prectl_1_0_a2[18]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_18),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_18_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_18_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_18_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_18_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_18_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_16_ (
        .combout(un1_pc_prectl_1_0_a2[16]),
        .dataa(r32_o_0_14),
        .datab(res_7_0_0_0_13),
        .datac(res_7_0_0_o3_0),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_16_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_16_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_16_.lut_mask="ec00";
defparam un1_pc_prectl_1_0_a2_16_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_16_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_4_ (
        .combout(un1_pc_prectl_1_0_a2[4]),
        .dataa(r32_o_0_2),
        .datab(res_7_0_0_0_1),
        .datac(res_7_0_0_o3_0),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_4_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_4_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_4_.lut_mask="ec00";
defparam un1_pc_prectl_1_0_a2_4_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_4_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_3_ (
        .combout(un1_pc_prectl_1_0_a2[3]),
        .dataa(r32_o_0_1),
        .datab(res_7_0_0_0_0),
        .datac(res_7_0_0_o3_0),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_3_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_3_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_3_.lut_mask="ec00";
defparam un1_pc_prectl_1_0_a2_3_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_3_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_a_31_ (
        .combout(pc_next_iv_a_31),
        .dataa(r32_o_31),
        .datab(pc_next_0_sqmuxa_0_a2),
        .datac(pc_next_2_sqmuxa_0_a2),
        .datad(dout_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_a_31_.operation_mode="normal";
defparam pc_next_iv_a_31_.output_mode="comb_only";
defparam pc_next_iv_a_31_.lut_mask="0777";
defparam pc_next_iv_a_31_.synch_mode="off";
defparam pc_next_iv_a_31_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_1_sqmuxa_0_a2_cZ (
        .combout(pc_next_1_sqmuxa_0_a2),
        .dataa(pc_gen_ctl_o_1),
        .datab(CurrState_Sreg0_4),
        .datac(pc_next47_0_a4),
        .datad(pc_next_1_sqmuxa_0_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_1_sqmuxa_0_a2_cZ.operation_mode="normal";
defparam pc_next_1_sqmuxa_0_a2_cZ.output_mode="comb_only";
defparam pc_next_1_sqmuxa_0_a2_cZ.lut_mask="1000";
defparam pc_next_1_sqmuxa_0_a2_cZ.synch_mode="off";
defparam pc_next_1_sqmuxa_0_a2_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_1_sqmuxa_0_a2_a_cZ (
        .combout(pc_next_1_sqmuxa_0_a2_a),
        .dataa(pc_gen_ctl_o_2),
        .datab(pc_gen_ctl_o_0),
        .datac(CurrState_Sreg0_3),
        .datad(CurrState_Sreg0_ns_0_i_o2_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_1_sqmuxa_0_a2_a_cZ.operation_mode="normal";
defparam pc_next_1_sqmuxa_0_a2_a_cZ.output_mode="comb_only";
defparam pc_next_1_sqmuxa_0_a2_a_cZ.lut_mask="4440";
defparam pc_next_1_sqmuxa_0_a2_a_cZ.synch_mode="off";
defparam pc_next_1_sqmuxa_0_a2_a_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_29_ (
        .combout(un1_pc_prectl_1_0_a2[29]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_29),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_29_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_29_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_29_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_29_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_29_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_30_ (
        .combout(un1_pc_prectl_1_0_a2[30]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_30),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_30_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_30_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_30_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_30_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_30_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_28_ (
        .combout(un1_pc_prectl_1_0_a2[28]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_28),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_28_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_28_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_28_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_28_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_28_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_17_ (
        .combout(un1_pc_prectl_1_0_a2[17]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_17),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_17_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_17_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_17_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_17_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_17_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_15_ (
        .combout(un1_pc_prectl_1_0_a2[15]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_15),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_15_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_15_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_15_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_15_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_15_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_14_ (
        .combout(un1_pc_prectl_1_0_a2[14]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_14),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_14_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_14_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_14_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_14_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_14_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_13_ (
        .combout(un1_pc_prectl_1_0_a2[13]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_13),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_13_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_13_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_13_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_13_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_13_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_12_ (
        .combout(un1_pc_prectl_1_0_a2[12]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_12),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_12_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_12_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_12_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_12_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_12_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_11_ (
        .combout(un1_pc_prectl_1_0_a2[11]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_11),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_11_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_11_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_11_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_11_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_11_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_10_ (
        .combout(un1_pc_prectl_1_0_a2[10]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_10),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_10_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_10_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_10_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_10_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_10_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_9_ (
        .combout(un1_pc_prectl_1_0_a2[9]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_9),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_9_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_9_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_9_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_9_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_9_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_8_ (
        .combout(un1_pc_prectl_1_0_a2[8]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_8),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_8_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_8_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_8_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_8_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_8_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_7_ (
        .combout(un1_pc_prectl_1_0_a2[7]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_7),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_7_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_7_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_7_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_7_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_7_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_6_ (
        .combout(un1_pc_prectl_1_0_a2[6]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_6),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_6_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_6_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_6_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_6_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_6_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_5_ (
        .combout(un1_pc_prectl_1_0_a2[5]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_5),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_5_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_5_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_5_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_5_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_5_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_1_ (
        .combout(un1_pc_prectl_1_0_a2[1]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_1),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_1_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_1_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_1_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_1_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_1_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_0_ (
        .combout(un1_pc_prectl_1_0_a2[0]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_0),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_0_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_0_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_0_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_0_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_0_.sum_lutc_input="datac";
// @7:92
  cyclone_lcell pc_next47_0_a2_cZ (
        .combout(pc_next47_0_a2),
        .dataa(CurrState_Sreg0_3),
        .datab(CurrState_Sreg0_4),
        .datac(CurrState_Sreg0_ns_0_i_o2_0_0),
        .datad(pc_next47_0_a4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next47_0_a2_cZ.operation_mode="normal";
defparam pc_next47_0_a2_cZ.output_mode="comb_only";
defparam pc_next47_0_a2_cZ.lut_mask="0400";
defparam pc_next47_0_a2_cZ.synch_mode="off";
defparam pc_next47_0_a2_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_prectl_1_0_a2_31_ (
        .combout(un1_pc_prectl_1_0_a2[31]),
        .dataa(VCC),
        .datab(VCC),
        .datac(res_7_0_0_31),
        .datad(pc_next_3_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_prectl_1_0_a2_31_.operation_mode="normal";
defparam un1_pc_prectl_1_0_a2_31_.output_mode="comb_only";
defparam un1_pc_prectl_1_0_a2_31_.lut_mask="f000";
defparam un1_pc_prectl_1_0_a2_31_.synch_mode="off";
defparam un1_pc_prectl_1_0_a2_31_.sum_lutc_input="datac";
// @7:92
  cyclone_lcell pc_next47_0_a4_cZ (
        .combout(pc_next47_0_a4),
        .dataa(CurrState_Sreg0_i_0),
        .datab(CurrState_Sreg0_5),
        .datac(CurrState_Sreg0_1),
        .datad(CurrState_Sreg0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next47_0_a4_cZ.operation_mode="normal";
defparam pc_next47_0_a4_cZ.output_mode="comb_only";
defparam pc_next47_0_a4_cZ.lut_mask="0002";
defparam pc_next47_0_a4_cZ.synch_mode="off";
defparam pc_next47_0_a4_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_31_ (
        .combout(pc_next_iv_0_31),
        .dataa(irq_addr_o_31),
        .datab(r32_o_0_31),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_31_.operation_mode="normal";
defparam pc_next_iv_0_31_.output_mode="comb_only";
defparam pc_next_iv_0_31_.lut_mask="eca0";
defparam pc_next_iv_0_31_.synch_mode="off";
defparam pc_next_iv_0_31_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_30_ (
        .combout(pc_next_iv_0_30),
        .dataa(irq_addr_o_30),
        .datab(r32_o_0_30),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_30_.operation_mode="normal";
defparam pc_next_iv_0_30_.output_mode="comb_only";
defparam pc_next_iv_0_30_.lut_mask="eca0";
defparam pc_next_iv_0_30_.synch_mode="off";
defparam pc_next_iv_0_30_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_29_ (
        .combout(pc_next_iv_0_29),
        .dataa(irq_addr_o_29),
        .datab(r32_o_0_29),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_29_.operation_mode="normal";
defparam pc_next_iv_0_29_.output_mode="comb_only";
defparam pc_next_iv_0_29_.lut_mask="eca0";
defparam pc_next_iv_0_29_.synch_mode="off";
defparam pc_next_iv_0_29_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell pc_next_iv_0_28_ (
        .combout(pc_next_iv_0_28),
        .dataa(irq_addr_o_28),
        .datab(r32_o_0_28),
        .datac(pc_next47_0_a2),
        .datad(pc_next_1_sqmuxa_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_next_iv_0_28_.operation_mode="normal";
defparam pc_next_iv_0_28_.output_mode="comb_only";
defparam pc_next_iv_0_28_.lut_mask="eca0";
defparam pc_next_iv_0_28_.synch_mode="off";
defparam pc_next_iv_0_28_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_next46_0_m2_cZ (
        .combout(un1_pc_next46_0_m2),
        .dataa(pc_gen_ctl_o_2),
        .datab(pc_gen_ctl_o_1),
        .datac(pc_gen_ctl_o_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_next46_0_m2_cZ.operation_mode="normal";
defparam un1_pc_next46_0_m2_cZ.output_mode="comb_only";
defparam un1_pc_next46_0_m2_cZ.lut_mask="e3e3";
defparam un1_pc_next46_0_m2_cZ.synch_mode="off";
defparam un1_pc_next46_0_m2_cZ.sum_lutc_input="datac";
// @7:77
  cyclone_lcell un1_pc_add31_cZ (
        .combout(un1_pc_add31),
        .dataa(r32_o_0_31),
        .datab(un1_pc_prectl_1_0_a2[31]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_30),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add31_cZ.cin_used="true";
defparam un1_pc_add31_cZ.operation_mode="normal";
defparam un1_pc_add31_cZ.output_mode="comb_only";
defparam un1_pc_add31_cZ.lut_mask="9696";
defparam un1_pc_add31_cZ.synch_mode="off";
defparam un1_pc_add31_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add30_cZ (
        .combout(un1_pc_add30),
        .cout(un1_pc_carry_30),
        .dataa(r32_o_0_30),
        .datab(un1_pc_prectl_1_0_a2[30]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_29),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add30_cZ.cin_used="true";
defparam un1_pc_add30_cZ.operation_mode="arithmetic";
defparam un1_pc_add30_cZ.output_mode="comb_only";
defparam un1_pc_add30_cZ.lut_mask="96e8";
defparam un1_pc_add30_cZ.synch_mode="off";
defparam un1_pc_add30_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add29_cZ (
        .combout(un1_pc_add29),
        .cout(un1_pc_carry_29),
        .dataa(r32_o_0_29),
        .datab(un1_pc_prectl_1_0_a2[29]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_28),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add29_cZ.cin_used="true";
defparam un1_pc_add29_cZ.operation_mode="arithmetic";
defparam un1_pc_add29_cZ.output_mode="comb_only";
defparam un1_pc_add29_cZ.lut_mask="96e8";
defparam un1_pc_add29_cZ.synch_mode="off";
defparam un1_pc_add29_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add28_cZ (
        .combout(un1_pc_add28),
        .cout(un1_pc_carry_28),
        .dataa(r32_o_0_28),
        .datab(un1_pc_prectl_1_0_a2[28]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_27),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add28_cZ.cin_used="true";
defparam un1_pc_add28_cZ.operation_mode="arithmetic";
defparam un1_pc_add28_cZ.output_mode="comb_only";
defparam un1_pc_add28_cZ.lut_mask="96e8";
defparam un1_pc_add28_cZ.synch_mode="off";
defparam un1_pc_add28_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add27_cZ (
        .combout(un1_pc_add27),
        .cout(un1_pc_carry_27),
        .dataa(r32_o_0_27),
        .datab(un1_pc_prectl_1_0_a2[27]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_26),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add27_cZ.cin_used="true";
defparam un1_pc_add27_cZ.operation_mode="arithmetic";
defparam un1_pc_add27_cZ.output_mode="comb_only";
defparam un1_pc_add27_cZ.lut_mask="96e8";
defparam un1_pc_add27_cZ.synch_mode="off";
defparam un1_pc_add27_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add26_cZ (
        .combout(un1_pc_add26),
        .cout(un1_pc_carry_26),
        .dataa(r32_o_0_26),
        .datab(un1_pc_prectl_1_0_a2[26]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_25),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add26_cZ.cin_used="true";
defparam un1_pc_add26_cZ.operation_mode="arithmetic";
defparam un1_pc_add26_cZ.output_mode="comb_only";
defparam un1_pc_add26_cZ.lut_mask="96e8";
defparam un1_pc_add26_cZ.synch_mode="off";
defparam un1_pc_add26_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add25_cZ (
        .combout(un1_pc_add25),
        .cout(un1_pc_carry_25),
        .dataa(r32_o_0_25),
        .datab(un1_pc_prectl_1_0_a2[25]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_24),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add25_cZ.cin_used="true";
defparam un1_pc_add25_cZ.operation_mode="arithmetic";
defparam un1_pc_add25_cZ.output_mode="comb_only";
defparam un1_pc_add25_cZ.lut_mask="96e8";
defparam un1_pc_add25_cZ.synch_mode="off";
defparam un1_pc_add25_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add24_cZ (
        .combout(un1_pc_add24),
        .cout(un1_pc_carry_24),
        .dataa(r32_o_0_24),
        .datab(un1_pc_prectl_1_0_a2[24]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_23),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add24_cZ.cin_used="true";
defparam un1_pc_add24_cZ.operation_mode="arithmetic";
defparam un1_pc_add24_cZ.output_mode="comb_only";
defparam un1_pc_add24_cZ.lut_mask="96e8";
defparam un1_pc_add24_cZ.synch_mode="off";
defparam un1_pc_add24_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add23_cZ (
        .combout(un1_pc_add23),
        .cout(un1_pc_carry_23),
        .dataa(r32_o_0_23),
        .datab(un1_pc_prectl_1_0_a2[23]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_22),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add23_cZ.cin_used="true";
defparam un1_pc_add23_cZ.operation_mode="arithmetic";
defparam un1_pc_add23_cZ.output_mode="comb_only";
defparam un1_pc_add23_cZ.lut_mask="96e8";
defparam un1_pc_add23_cZ.synch_mode="off";
defparam un1_pc_add23_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add22_cZ (
        .combout(un1_pc_add22),
        .cout(un1_pc_carry_22),
        .dataa(r32_o_0_22),
        .datab(un1_pc_prectl_1_0_a2[22]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_21),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add22_cZ.cin_used="true";
defparam un1_pc_add22_cZ.operation_mode="arithmetic";
defparam un1_pc_add22_cZ.output_mode="comb_only";
defparam un1_pc_add22_cZ.lut_mask="96e8";
defparam un1_pc_add22_cZ.synch_mode="off";
defparam un1_pc_add22_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add21_cZ (
        .combout(un1_pc_add21),
        .cout(un1_pc_carry_21),
        .dataa(r32_o_0_21),
        .datab(un1_pc_prectl_1_0_a2[21]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_20),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add21_cZ.cin_used="true";
defparam un1_pc_add21_cZ.operation_mode="arithmetic";
defparam un1_pc_add21_cZ.output_mode="comb_only";
defparam un1_pc_add21_cZ.lut_mask="96e8";
defparam un1_pc_add21_cZ.synch_mode="off";
defparam un1_pc_add21_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add20_cZ (
        .combout(un1_pc_add20),
        .cout(un1_pc_carry_20),
        .dataa(r32_o_0_20),
        .datab(un1_pc_prectl_1_0_a2[20]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_19),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add20_cZ.cin_used="true";
defparam un1_pc_add20_cZ.operation_mode="arithmetic";
defparam un1_pc_add20_cZ.output_mode="comb_only";
defparam un1_pc_add20_cZ.lut_mask="96e8";
defparam un1_pc_add20_cZ.synch_mode="off";
defparam un1_pc_add20_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add19_cZ (
        .combout(un1_pc_add19),
        .cout(un1_pc_carry_19),
        .dataa(r32_o_0_19),
        .datab(un1_pc_prectl_1_0_a2[19]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_18),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add19_cZ.cin_used="true";
defparam un1_pc_add19_cZ.operation_mode="arithmetic";
defparam un1_pc_add19_cZ.output_mode="comb_only";
defparam un1_pc_add19_cZ.lut_mask="96e8";
defparam un1_pc_add19_cZ.synch_mode="off";
defparam un1_pc_add19_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add18_cZ (
        .combout(un1_pc_add18),
        .cout(un1_pc_carry_18),
        .dataa(r32_o_0_18),
        .datab(un1_pc_prectl_1_0_a2[18]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_17),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add18_cZ.cin_used="true";
defparam un1_pc_add18_cZ.operation_mode="arithmetic";
defparam un1_pc_add18_cZ.output_mode="comb_only";
defparam un1_pc_add18_cZ.lut_mask="96e8";
defparam un1_pc_add18_cZ.synch_mode="off";
defparam un1_pc_add18_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add17_cZ (
        .combout(un1_pc_add17),
        .cout(un1_pc_carry_17),
        .dataa(r32_o_0_17),
        .datab(un1_pc_prectl_1_0_a2[17]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_16),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add17_cZ.cin_used="true";
defparam un1_pc_add17_cZ.operation_mode="arithmetic";
defparam un1_pc_add17_cZ.output_mode="comb_only";
defparam un1_pc_add17_cZ.lut_mask="96e8";
defparam un1_pc_add17_cZ.synch_mode="off";
defparam un1_pc_add17_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add16_cZ (
        .combout(un1_pc_add16),
        .cout(un1_pc_carry_16),
        .dataa(r32_o_0_16),
        .datab(un1_pc_prectl_1_0_a2[16]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_15),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add16_cZ.cin_used="true";
defparam un1_pc_add16_cZ.operation_mode="arithmetic";
defparam un1_pc_add16_cZ.output_mode="comb_only";
defparam un1_pc_add16_cZ.lut_mask="96e8";
defparam un1_pc_add16_cZ.synch_mode="off";
defparam un1_pc_add16_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add15_cZ (
        .combout(un1_pc_add15),
        .cout(un1_pc_carry_15),
        .dataa(r32_o_0_15),
        .datab(un1_pc_prectl_1_0_a2[15]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_14),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add15_cZ.cin_used="true";
defparam un1_pc_add15_cZ.operation_mode="arithmetic";
defparam un1_pc_add15_cZ.output_mode="comb_only";
defparam un1_pc_add15_cZ.lut_mask="96e8";
defparam un1_pc_add15_cZ.synch_mode="off";
defparam un1_pc_add15_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add14_cZ (
        .combout(un1_pc_add14),
        .cout(un1_pc_carry_14),
        .dataa(r32_o_1_13),
        .datab(un1_pc_prectl_1_0_a2[14]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_13),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add14_cZ.cin_used="true";
defparam un1_pc_add14_cZ.operation_mode="arithmetic";
defparam un1_pc_add14_cZ.output_mode="comb_only";
defparam un1_pc_add14_cZ.lut_mask="96e8";
defparam un1_pc_add14_cZ.synch_mode="off";
defparam un1_pc_add14_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add13_cZ (
        .combout(un1_pc_add13),
        .cout(un1_pc_carry_13),
        .dataa(r32_o_0_13),
        .datab(un1_pc_prectl_1_0_a2[13]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_12),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add13_cZ.cin_used="true";
defparam un1_pc_add13_cZ.operation_mode="arithmetic";
defparam un1_pc_add13_cZ.output_mode="comb_only";
defparam un1_pc_add13_cZ.lut_mask="96e8";
defparam un1_pc_add13_cZ.synch_mode="off";
defparam un1_pc_add13_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add12_cZ (
        .combout(un1_pc_add12),
        .cout(un1_pc_carry_12),
        .dataa(r32_o_0_12),
        .datab(un1_pc_prectl_1_0_a2[12]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_11),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add12_cZ.cin_used="true";
defparam un1_pc_add12_cZ.operation_mode="arithmetic";
defparam un1_pc_add12_cZ.output_mode="comb_only";
defparam un1_pc_add12_cZ.lut_mask="96e8";
defparam un1_pc_add12_cZ.synch_mode="off";
defparam un1_pc_add12_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add11_cZ (
        .combout(un1_pc_add11),
        .cout(un1_pc_carry_11),
        .dataa(r32_o_0_11),
        .datab(un1_pc_prectl_1_0_a2[11]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_10),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add11_cZ.cin_used="true";
defparam un1_pc_add11_cZ.operation_mode="arithmetic";
defparam un1_pc_add11_cZ.output_mode="comb_only";
defparam un1_pc_add11_cZ.lut_mask="96e8";
defparam un1_pc_add11_cZ.synch_mode="off";
defparam un1_pc_add11_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add10_cZ (
        .combout(un1_pc_add10),
        .cout(un1_pc_carry_10),
        .dataa(r32_o_0_10),
        .datab(un1_pc_prectl_1_0_a2[10]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_9),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add10_cZ.cin_used="true";
defparam un1_pc_add10_cZ.operation_mode="arithmetic";
defparam un1_pc_add10_cZ.output_mode="comb_only";
defparam un1_pc_add10_cZ.lut_mask="96e8";
defparam un1_pc_add10_cZ.synch_mode="off";
defparam un1_pc_add10_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add9_cZ (
        .combout(un1_pc_add9),
        .cout(un1_pc_carry_9),
        .dataa(r32_o_0_9),
        .datab(un1_pc_prectl_1_0_a2[9]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_8),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add9_cZ.cin_used="true";
defparam un1_pc_add9_cZ.operation_mode="arithmetic";
defparam un1_pc_add9_cZ.output_mode="comb_only";
defparam un1_pc_add9_cZ.lut_mask="96e8";
defparam un1_pc_add9_cZ.synch_mode="off";
defparam un1_pc_add9_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add8_cZ (
        .combout(un1_pc_add8),
        .cout(un1_pc_carry_8),
        .dataa(r32_o_0_8),
        .datab(un1_pc_prectl_1_0_a2[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_7),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add8_cZ.cin_used="true";
defparam un1_pc_add8_cZ.operation_mode="arithmetic";
defparam un1_pc_add8_cZ.output_mode="comb_only";
defparam un1_pc_add8_cZ.lut_mask="96e8";
defparam un1_pc_add8_cZ.synch_mode="off";
defparam un1_pc_add8_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add7_cZ (
        .combout(un1_pc_add7),
        .cout(un1_pc_carry_7),
        .dataa(r32_o_0_7),
        .datab(un1_pc_prectl_1_0_a2[7]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_6),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add7_cZ.cin_used="true";
defparam un1_pc_add7_cZ.operation_mode="arithmetic";
defparam un1_pc_add7_cZ.output_mode="comb_only";
defparam un1_pc_add7_cZ.lut_mask="96e8";
defparam un1_pc_add7_cZ.synch_mode="off";
defparam un1_pc_add7_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add6_cZ (
        .combout(un1_pc_add6),
        .cout(un1_pc_carry_6),
        .dataa(r32_o_0_6),
        .datab(un1_pc_prectl_1_0_a2[6]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_5),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add6_cZ.cin_used="true";
defparam un1_pc_add6_cZ.operation_mode="arithmetic";
defparam un1_pc_add6_cZ.output_mode="comb_only";
defparam un1_pc_add6_cZ.lut_mask="96e8";
defparam un1_pc_add6_cZ.synch_mode="off";
defparam un1_pc_add6_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add5_cZ (
        .combout(un1_pc_add5),
        .cout(un1_pc_carry_5),
        .dataa(r32_o_0_5),
        .datab(un1_pc_prectl_1_0_a2[5]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_4),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add5_cZ.cin_used="true";
defparam un1_pc_add5_cZ.operation_mode="arithmetic";
defparam un1_pc_add5_cZ.output_mode="comb_only";
defparam un1_pc_add5_cZ.lut_mask="96e8";
defparam un1_pc_add5_cZ.synch_mode="off";
defparam un1_pc_add5_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add4_cZ (
        .combout(un1_pc_add4),
        .cout(un1_pc_carry_4),
        .dataa(r32_o_0_4),
        .datab(un1_pc_prectl_1_0_a2[4]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_3),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add4_cZ.cin_used="true";
defparam un1_pc_add4_cZ.operation_mode="arithmetic";
defparam un1_pc_add4_cZ.output_mode="comb_only";
defparam un1_pc_add4_cZ.lut_mask="96e8";
defparam un1_pc_add4_cZ.synch_mode="off";
defparam un1_pc_add4_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add3_cZ (
        .combout(un1_pc_add3),
        .cout(un1_pc_carry_3),
        .dataa(r32_o_0_3),
        .datab(un1_pc_prectl_1_0_a2[3]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add3_cZ.cin_used="true";
defparam un1_pc_add3_cZ.operation_mode="arithmetic";
defparam un1_pc_add3_cZ.output_mode="comb_only";
defparam un1_pc_add3_cZ.lut_mask="96e8";
defparam un1_pc_add3_cZ.synch_mode="off";
defparam un1_pc_add3_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add2_cZ (
        .combout(un1_pc_add2),
        .cout(un1_pc_carry_2),
        .dataa(r32_o_1_1),
        .datab(un1_pc_prectl_1_i_m2[2]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_1),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add2_cZ.cin_used="true";
defparam un1_pc_add2_cZ.operation_mode="arithmetic";
defparam un1_pc_add2_cZ.output_mode="comb_only";
defparam un1_pc_add2_cZ.lut_mask="96e8";
defparam un1_pc_add2_cZ.synch_mode="off";
defparam un1_pc_add2_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add1_cZ (
        .combout(un1_pc_add1),
        .cout(un1_pc_carry_1),
        .dataa(r32_o_1_0),
        .datab(un1_pc_prectl_1_0_a2[1]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_pc_carry_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add1_cZ.cin_used="true";
defparam un1_pc_add1_cZ.operation_mode="arithmetic";
defparam un1_pc_add1_cZ.output_mode="comb_only";
defparam un1_pc_add1_cZ.lut_mask="96e8";
defparam un1_pc_add1_cZ.synch_mode="off";
defparam un1_pc_add1_cZ.sum_lutc_input="cin";
// @7:77
  cyclone_lcell un1_pc_add0_cZ (
        .combout(un1_pc_add0),
        .cout(un1_pc_carry_0),
        .dataa(r32_o_0_0),
        .datab(un1_pc_prectl_1_0_a2[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_pc_add0_cZ.operation_mode="arithmetic";
defparam un1_pc_add0_cZ.output_mode="comb_only";
defparam un1_pc_add0_cZ.lut_mask="6688";
defparam un1_pc_add0_cZ.synch_mode="off";
defparam un1_pc_add0_cZ.sum_lutc_input="datac";
endmodule /* pc_gen */

// VQM4.1+ 
module compare (
  dout_iv_0_15,
  dout_iv_0_31,
  dout_iv_0_14,
  dout_iv_0_30,
  dout_iv_0_13,
  dout_iv_0_29,
  dout_iv_0_12,
  dout_iv_0_28,
  dout_iv_0_11,
  dout_iv_0_27,
  dout_iv_0_10,
  dout_iv_0_26,
  dout_iv_0_9,
  dout_iv_0_25,
  dout_iv_0_8,
  dout_iv_0_24,
  dout_iv_0_7,
  dout_iv_0_23,
  dout_iv_0_6,
  dout_iv_0_22,
  dout_iv_0_5,
  dout_iv_0_21,
  dout_iv_0_4,
  dout_iv_0_20,
  dout_iv_0_3,
  dout_iv_0_19,
  dout_iv_0_2,
  dout_iv_0_18,
  dout_iv_0_1,
  dout_iv_0_17,
  dout_iv_0_0,
  dout_iv_0_16,
  dout_iv_21,
  dout_iv_1,
  dout_iv_16,
  dout_iv_4,
  dout_iv_27,
  dout_iv_11,
  dout_iv_26,
  dout_iv_0,
  dout_iv_7,
  dout_iv_6,
  dout_iv_22,
  dout_iv_10,
  dout_iv_28,
  dout_iv_12,
  dout_iv_30,
  dout_iv_13,
  dout_iv_25,
  dout_iv_8,
  dout_iv_9,
  dout_iv_24,
  dout_iv_17,
  dout_iv_2,
  dout_iv_3,
  dout_iv_18,
  dout_iv_29,
  dout_iv_15,
  dout_iv_19,
  dout_iv_14,
  dout_iv_5,
  dout_iv_23,
  dout_iv_20,
  dout_iv_31,
  cmp_ctl_o_0,
  cmp_ctl_o_1,
  res_5,
  res_2_NE,
  res_3_0
);
input dout_iv_0_15 ;
input dout_iv_0_31 ;
input dout_iv_0_14 ;
input dout_iv_0_30 ;
input dout_iv_0_13 ;
input dout_iv_0_29 ;
input dout_iv_0_12 ;
input dout_iv_0_28 ;
input dout_iv_0_11 ;
input dout_iv_0_27 ;
input dout_iv_0_10 ;
input dout_iv_0_26 ;
input dout_iv_0_9 ;
input dout_iv_0_25 ;
input dout_iv_0_8 ;
input dout_iv_0_24 ;
input dout_iv_0_7 ;
input dout_iv_0_23 ;
input dout_iv_0_6 ;
input dout_iv_0_22 ;
input dout_iv_0_5 ;
input dout_iv_0_21 ;
input dout_iv_0_4 ;
input dout_iv_0_20 ;
input dout_iv_0_3 ;
input dout_iv_0_19 ;
input dout_iv_0_2 ;
input dout_iv_0_18 ;
input dout_iv_0_1 ;
input dout_iv_0_17 ;
input dout_iv_0_0 ;
input dout_iv_0_16 ;
input dout_iv_21 ;
input dout_iv_1 ;
input dout_iv_16 ;
input dout_iv_4 ;
input dout_iv_27 ;
input dout_iv_11 ;
input dout_iv_26 ;
input dout_iv_0 ;
input dout_iv_7 ;
input dout_iv_6 ;
input dout_iv_22 ;
input dout_iv_10 ;
input dout_iv_28 ;
input dout_iv_12 ;
input dout_iv_30 ;
input dout_iv_13 ;
input dout_iv_25 ;
input dout_iv_8 ;
input dout_iv_9 ;
input dout_iv_24 ;
input dout_iv_17 ;
input dout_iv_2 ;
input dout_iv_3 ;
input dout_iv_18 ;
input dout_iv_29 ;
input dout_iv_15 ;
input dout_iv_19 ;
input dout_iv_14 ;
input dout_iv_5 ;
input dout_iv_23 ;
input dout_iv_20 ;
input dout_iv_31 ;
input cmp_ctl_o_0 ;
input cmp_ctl_o_1 ;
output res_5 ;
output res_2_NE ;
output res_3_0 ;
wire dout_iv_0_15 ;
wire dout_iv_0_31 ;
wire dout_iv_0_14 ;
wire dout_iv_0_30 ;
wire dout_iv_0_13 ;
wire dout_iv_0_29 ;
wire dout_iv_0_12 ;
wire dout_iv_0_28 ;
wire dout_iv_0_11 ;
wire dout_iv_0_27 ;
wire dout_iv_0_10 ;
wire dout_iv_0_26 ;
wire dout_iv_0_9 ;
wire dout_iv_0_25 ;
wire dout_iv_0_8 ;
wire dout_iv_0_24 ;
wire dout_iv_0_7 ;
wire dout_iv_0_23 ;
wire dout_iv_0_6 ;
wire dout_iv_0_22 ;
wire dout_iv_0_5 ;
wire dout_iv_0_21 ;
wire dout_iv_0_4 ;
wire dout_iv_0_20 ;
wire dout_iv_0_3 ;
wire dout_iv_0_19 ;
wire dout_iv_0_2 ;
wire dout_iv_0_18 ;
wire dout_iv_0_1 ;
wire dout_iv_0_17 ;
wire dout_iv_0_0 ;
wire dout_iv_0_16 ;
wire dout_iv_21 ;
wire dout_iv_1 ;
wire dout_iv_16 ;
wire dout_iv_4 ;
wire dout_iv_27 ;
wire dout_iv_11 ;
wire dout_iv_26 ;
wire dout_iv_0 ;
wire dout_iv_7 ;
wire dout_iv_6 ;
wire dout_iv_22 ;
wire dout_iv_10 ;
wire dout_iv_28 ;
wire dout_iv_12 ;
wire dout_iv_30 ;
wire dout_iv_13 ;
wire dout_iv_25 ;
wire dout_iv_8 ;
wire dout_iv_9 ;
wire dout_iv_24 ;
wire dout_iv_17 ;
wire dout_iv_2 ;
wire dout_iv_3 ;
wire dout_iv_18 ;
wire dout_iv_29 ;
wire dout_iv_15 ;
wire dout_iv_19 ;
wire dout_iv_14 ;
wire dout_iv_5 ;
wire dout_iv_23 ;
wire dout_iv_20 ;
wire dout_iv_31 ;
wire cmp_ctl_o_0 ;
wire cmp_ctl_o_1 ;
wire res_5 ;
wire res_2_NE ;
wire res_3_0 ;
wire res_2_NE_11_0 ;
wire res_2_NE_9_0 ;
wire res_2_NE_10_0 ;
wire res_2_NE_12_0 ;
wire un10_res_28 ;
wire un10_res_27 ;
wire un10_res_18 ;
wire un10_res_19 ;
wire un10_res_20 ;
wire un10_res_21 ;
wire un10_res_16 ;
wire un10_res_17 ;
wire un10_res_23 ;
wire res_2_NE_4 ;
wire res_2_NE_5 ;
wire res_2_NE_2 ;
wire res_2_NE_3 ;
wire res_2_NE_8 ;
wire N_15 ;
wire res_2_NE_6 ;
wire res_2_NE_7 ;
wire N_18 ;
wire res_2_NE_13 ;
wire N_16 ;
wire N_17 ;
wire res_2_NE_17 ;
wire res_2_NE_16 ;
wire res_2_NE_14 ;
wire res_2_NE_15 ;
wire un10_res_23_a ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @7:50
  cyclone_lcell res_3_0_cZ (
        .combout(res_3_0),
        .dataa(cmp_ctl_o_1),
        .datab(cmp_ctl_o_0),
        .datac(dout_iv_31),
        .datad(res_2_NE),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_3_0_cZ.operation_mode="normal";
defparam res_3_0_cZ.output_mode="comb_only";
defparam res_3_0_cZ.lut_mask="c682";
defparam res_3_0_cZ.synch_mode="off";
defparam res_3_0_cZ.sum_lutc_input="datac";
// @7:51
  cyclone_lcell res_2_NE_cZ (
        .combout(res_2_NE),
        .dataa(res_2_NE_11_0),
        .datab(res_2_NE_9_0),
        .datac(res_2_NE_10_0),
        .datad(res_2_NE_12_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_cZ.operation_mode="normal";
defparam res_2_NE_cZ.output_mode="comb_only";
defparam res_2_NE_cZ.lut_mask="fffe";
defparam res_2_NE_cZ.synch_mode="off";
defparam res_2_NE_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell res_5_cZ (
        .combout(res_5),
        .dataa(VCC),
        .datab(dout_iv_31),
        .datac(un10_res_28),
        .datad(un10_res_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_5_cZ.operation_mode="normal";
defparam res_5_cZ.output_mode="comb_only";
defparam res_5_cZ.lut_mask="3330";
defparam res_5_cZ.synch_mode="off";
defparam res_5_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_28_cZ (
        .combout(un10_res_28),
        .dataa(un10_res_18),
        .datab(un10_res_19),
        .datac(un10_res_20),
        .datad(un10_res_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_28_cZ.operation_mode="normal";
defparam un10_res_28_cZ.output_mode="comb_only";
defparam un10_res_28_cZ.lut_mask="fffe";
defparam un10_res_28_cZ.synch_mode="off";
defparam un10_res_28_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_27_cZ (
        .combout(un10_res_27),
        .dataa(VCC),
        .datab(un10_res_16),
        .datac(un10_res_17),
        .datad(un10_res_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_27_cZ.operation_mode="normal";
defparam un10_res_27_cZ.output_mode="comb_only";
defparam un10_res_27_cZ.lut_mask="fffc";
defparam un10_res_27_cZ.synch_mode="off";
defparam un10_res_27_cZ.sum_lutc_input="datac";
// @7:51
  cyclone_lcell res_2_NE_9_0_cZ (
        .combout(res_2_NE_9_0),
        .dataa(res_2_NE_4),
        .datab(res_2_NE_5),
        .datac(res_2_NE_2),
        .datad(res_2_NE_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_9_0_cZ.operation_mode="normal";
defparam res_2_NE_9_0_cZ.output_mode="comb_only";
defparam res_2_NE_9_0_cZ.lut_mask="fffe";
defparam res_2_NE_9_0_cZ.synch_mode="off";
defparam res_2_NE_9_0_cZ.sum_lutc_input="datac";
// @7:51
  cyclone_lcell res_2_NE_10_0_cZ (
        .combout(res_2_NE_10_0),
        .dataa(res_2_NE_8),
        .datab(N_15),
        .datac(res_2_NE_6),
        .datad(res_2_NE_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_10_0_cZ.operation_mode="normal";
defparam res_2_NE_10_0_cZ.output_mode="comb_only";
defparam res_2_NE_10_0_cZ.lut_mask="fffe";
defparam res_2_NE_10_0_cZ.synch_mode="off";
defparam res_2_NE_10_0_cZ.sum_lutc_input="datac";
// @7:51
  cyclone_lcell res_2_NE_11_0_cZ (
        .combout(res_2_NE_11_0),
        .dataa(N_18),
        .datab(res_2_NE_13),
        .datac(N_16),
        .datad(N_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_11_0_cZ.operation_mode="normal";
defparam res_2_NE_11_0_cZ.output_mode="comb_only";
defparam res_2_NE_11_0_cZ.lut_mask="fffe";
defparam res_2_NE_11_0_cZ.synch_mode="off";
defparam res_2_NE_11_0_cZ.sum_lutc_input="datac";
// @7:51
  cyclone_lcell res_2_NE_12_0_cZ (
        .combout(res_2_NE_12_0),
        .dataa(res_2_NE_17),
        .datab(res_2_NE_16),
        .datac(res_2_NE_14),
        .datad(res_2_NE_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_12_0_cZ.operation_mode="normal";
defparam res_2_NE_12_0_cZ.output_mode="comb_only";
defparam res_2_NE_12_0_cZ.lut_mask="fffe";
defparam res_2_NE_12_0_cZ.synch_mode="off";
defparam res_2_NE_12_0_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_23_cZ (
        .combout(un10_res_23),
        .dataa(dout_iv_20),
        .datab(dout_iv_23),
        .datac(dout_iv_5),
        .datad(un10_res_23_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_23_cZ.operation_mode="normal";
defparam un10_res_23_cZ.output_mode="comb_only";
defparam un10_res_23_cZ.lut_mask="feff";
defparam un10_res_23_cZ.synch_mode="off";
defparam un10_res_23_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_23_a_cZ (
        .combout(un10_res_23_a),
        .dataa(dout_iv_14),
        .datab(dout_iv_19),
        .datac(dout_iv_15),
        .datad(dout_iv_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_23_a_cZ.operation_mode="normal";
defparam un10_res_23_a_cZ.output_mode="comb_only";
defparam un10_res_23_a_cZ.lut_mask="0001";
defparam un10_res_23_a_cZ.synch_mode="off";
defparam un10_res_23_a_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_21_cZ (
        .combout(un10_res_21),
        .dataa(dout_iv_18),
        .datab(dout_iv_3),
        .datac(dout_iv_2),
        .datad(dout_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_21_cZ.operation_mode="normal";
defparam un10_res_21_cZ.output_mode="comb_only";
defparam un10_res_21_cZ.lut_mask="fffe";
defparam un10_res_21_cZ.synch_mode="off";
defparam un10_res_21_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_20_cZ (
        .combout(un10_res_20),
        .dataa(dout_iv_24),
        .datab(dout_iv_9),
        .datac(dout_iv_8),
        .datad(dout_iv_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_20_cZ.operation_mode="normal";
defparam un10_res_20_cZ.output_mode="comb_only";
defparam un10_res_20_cZ.lut_mask="fffe";
defparam un10_res_20_cZ.synch_mode="off";
defparam un10_res_20_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_19_cZ (
        .combout(un10_res_19),
        .dataa(dout_iv_13),
        .datab(dout_iv_30),
        .datac(dout_iv_12),
        .datad(dout_iv_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_19_cZ.operation_mode="normal";
defparam un10_res_19_cZ.output_mode="comb_only";
defparam un10_res_19_cZ.lut_mask="fffe";
defparam un10_res_19_cZ.synch_mode="off";
defparam un10_res_19_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_18_cZ (
        .combout(un10_res_18),
        .dataa(dout_iv_10),
        .datab(dout_iv_22),
        .datac(dout_iv_6),
        .datad(dout_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_18_cZ.operation_mode="normal";
defparam un10_res_18_cZ.output_mode="comb_only";
defparam un10_res_18_cZ.lut_mask="fffe";
defparam un10_res_18_cZ.synch_mode="off";
defparam un10_res_18_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_17_cZ (
        .combout(un10_res_17),
        .dataa(dout_iv_0),
        .datab(dout_iv_26),
        .datac(dout_iv_11),
        .datad(dout_iv_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_17_cZ.operation_mode="normal";
defparam un10_res_17_cZ.output_mode="comb_only";
defparam un10_res_17_cZ.lut_mask="fffe";
defparam un10_res_17_cZ.synch_mode="off";
defparam un10_res_17_cZ.sum_lutc_input="datac";
// @7:54
  cyclone_lcell un10_res_16_cZ (
        .combout(un10_res_16),
        .dataa(dout_iv_4),
        .datab(dout_iv_16),
        .datac(dout_iv_1),
        .datad(dout_iv_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un10_res_16_cZ.operation_mode="normal";
defparam un10_res_16_cZ.output_mode="comb_only";
defparam un10_res_16_cZ.lut_mask="fffe";
defparam un10_res_16_cZ.synch_mode="off";
defparam un10_res_16_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_2_cZ (
        .combout(res_2_NE_2),
        .dataa(dout_iv_0_16),
        .datab(dout_iv_0_0),
        .datac(dout_iv_16),
        .datad(dout_iv_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_2_cZ.operation_mode="normal";
defparam res_2_NE_2_cZ.output_mode="comb_only";
defparam res_2_NE_2_cZ.lut_mask="7bde";
defparam res_2_NE_2_cZ.synch_mode="off";
defparam res_2_NE_2_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_3_cZ (
        .combout(res_2_NE_3),
        .dataa(dout_iv_0_17),
        .datab(dout_iv_0_1),
        .datac(dout_iv_17),
        .datad(dout_iv_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_3_cZ.operation_mode="normal";
defparam res_2_NE_3_cZ.output_mode="comb_only";
defparam res_2_NE_3_cZ.lut_mask="7bde";
defparam res_2_NE_3_cZ.synch_mode="off";
defparam res_2_NE_3_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_4_cZ (
        .combout(res_2_NE_4),
        .dataa(dout_iv_0_18),
        .datab(dout_iv_0_2),
        .datac(dout_iv_18),
        .datad(dout_iv_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_4_cZ.operation_mode="normal";
defparam res_2_NE_4_cZ.output_mode="comb_only";
defparam res_2_NE_4_cZ.lut_mask="7bde";
defparam res_2_NE_4_cZ.synch_mode="off";
defparam res_2_NE_4_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_5_cZ (
        .combout(res_2_NE_5),
        .dataa(dout_iv_0_19),
        .datab(dout_iv_0_3),
        .datac(dout_iv_19),
        .datad(dout_iv_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_5_cZ.operation_mode="normal";
defparam res_2_NE_5_cZ.output_mode="comb_only";
defparam res_2_NE_5_cZ.lut_mask="7bde";
defparam res_2_NE_5_cZ.synch_mode="off";
defparam res_2_NE_5_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_6_cZ (
        .combout(res_2_NE_6),
        .dataa(dout_iv_0_20),
        .datab(dout_iv_0_4),
        .datac(dout_iv_4),
        .datad(dout_iv_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_6_cZ.operation_mode="normal";
defparam res_2_NE_6_cZ.output_mode="comb_only";
defparam res_2_NE_6_cZ.lut_mask="7dbe";
defparam res_2_NE_6_cZ.synch_mode="off";
defparam res_2_NE_6_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_7_cZ (
        .combout(res_2_NE_7),
        .dataa(dout_iv_0_21),
        .datab(dout_iv_0_5),
        .datac(dout_iv_21),
        .datad(dout_iv_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_7_cZ.operation_mode="normal";
defparam res_2_NE_7_cZ.output_mode="comb_only";
defparam res_2_NE_7_cZ.lut_mask="7bde";
defparam res_2_NE_7_cZ.synch_mode="off";
defparam res_2_NE_7_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_8_cZ (
        .combout(res_2_NE_8),
        .dataa(dout_iv_0_22),
        .datab(dout_iv_0_6),
        .datac(dout_iv_22),
        .datad(dout_iv_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_8_cZ.operation_mode="normal";
defparam res_2_NE_8_cZ.output_mode="comb_only";
defparam res_2_NE_8_cZ.lut_mask="7bde";
defparam res_2_NE_8_cZ.synch_mode="off";
defparam res_2_NE_8_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_9 (
        .combout(N_15),
        .dataa(dout_iv_0_23),
        .datab(dout_iv_0_7),
        .datac(dout_iv_23),
        .datad(dout_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_9.operation_mode="normal";
defparam res_2_NE_9.output_mode="comb_only";
defparam res_2_NE_9.lut_mask="7bde";
defparam res_2_NE_9.synch_mode="off";
defparam res_2_NE_9.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_10 (
        .combout(N_16),
        .dataa(dout_iv_0_24),
        .datab(dout_iv_0_8),
        .datac(dout_iv_24),
        .datad(dout_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_10.operation_mode="normal";
defparam res_2_NE_10.output_mode="comb_only";
defparam res_2_NE_10.lut_mask="7bde";
defparam res_2_NE_10.synch_mode="off";
defparam res_2_NE_10.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_11 (
        .combout(N_17),
        .dataa(dout_iv_0_25),
        .datab(dout_iv_0_9),
        .datac(dout_iv_25),
        .datad(dout_iv_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_11.operation_mode="normal";
defparam res_2_NE_11.output_mode="comb_only";
defparam res_2_NE_11.lut_mask="7bde";
defparam res_2_NE_11.synch_mode="off";
defparam res_2_NE_11.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_12 (
        .combout(N_18),
        .dataa(dout_iv_0_26),
        .datab(dout_iv_0_10),
        .datac(dout_iv_26),
        .datad(dout_iv_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_12.operation_mode="normal";
defparam res_2_NE_12.output_mode="comb_only";
defparam res_2_NE_12.lut_mask="7bde";
defparam res_2_NE_12.synch_mode="off";
defparam res_2_NE_12.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_13_cZ (
        .combout(res_2_NE_13),
        .dataa(dout_iv_0_27),
        .datab(dout_iv_0_11),
        .datac(dout_iv_27),
        .datad(dout_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_13_cZ.operation_mode="normal";
defparam res_2_NE_13_cZ.output_mode="comb_only";
defparam res_2_NE_13_cZ.lut_mask="7bde";
defparam res_2_NE_13_cZ.synch_mode="off";
defparam res_2_NE_13_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_14_cZ (
        .combout(res_2_NE_14),
        .dataa(dout_iv_0_28),
        .datab(dout_iv_0_12),
        .datac(dout_iv_28),
        .datad(dout_iv_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_14_cZ.operation_mode="normal";
defparam res_2_NE_14_cZ.output_mode="comb_only";
defparam res_2_NE_14_cZ.lut_mask="7bde";
defparam res_2_NE_14_cZ.synch_mode="off";
defparam res_2_NE_14_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_15_cZ (
        .combout(res_2_NE_15),
        .dataa(dout_iv_0_29),
        .datab(dout_iv_0_13),
        .datac(dout_iv_13),
        .datad(dout_iv_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_15_cZ.operation_mode="normal";
defparam res_2_NE_15_cZ.output_mode="comb_only";
defparam res_2_NE_15_cZ.lut_mask="7dbe";
defparam res_2_NE_15_cZ.synch_mode="off";
defparam res_2_NE_15_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_16_cZ (
        .combout(res_2_NE_16),
        .dataa(dout_iv_0_30),
        .datab(dout_iv_0_14),
        .datac(dout_iv_30),
        .datad(dout_iv_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_16_cZ.operation_mode="normal";
defparam res_2_NE_16_cZ.output_mode="comb_only";
defparam res_2_NE_16_cZ.lut_mask="7bde";
defparam res_2_NE_16_cZ.synch_mode="off";
defparam res_2_NE_16_cZ.sum_lutc_input="datac";
// @8:147
  cyclone_lcell res_2_NE_17_cZ (
        .combout(res_2_NE_17),
        .dataa(dout_iv_31),
        .datab(dout_iv_0_31),
        .datac(dout_iv_0_15),
        .datad(dout_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_2_NE_17_cZ.operation_mode="normal";
defparam res_2_NE_17_cZ.output_mode="comb_only";
defparam res_2_NE_17_cZ.lut_mask="6ff6";
defparam res_2_NE_17_cZ.synch_mode="off";
defparam res_2_NE_17_cZ.sum_lutc_input="datac";
endmodule /* compare */

// VQM4.1+ 
module ext (
  res_7_0_0_a3_0,
  res_7_0_0_o3_0,
  res_7_0_0_a2_0_0_0,
  res_7_0_0_0_0,
  res_7_0_0_0_1,
  res_7_0_0_0_14,
  res_7_0_0_0_2,
  ext_ctl_o_1,
  ext_ctl_o_0,
  ext_ctl_o_2,
  r32_o_1,
  r32_o_0,
  r32_o_15,
  r32_o_9,
  r32_o_23,
  r32_o_2,
  r32_o_16,
  r32_o_3,
  r32_o_17,
  r32_o_10,
  r32_o_24,
  r32_o_8,
  r32_o_22,
  r32_o_6,
  r32_o_20,
  r32_o_4,
  r32_o_18,
  r32_o_5,
  r32_o_19,
  r32_o_7,
  r32_o_21,
  r32_o_11,
  r32_o_25,
  res_7_0_0_a_5,
  res_7_0_0_a_1,
  res_7_0_0_a_0,
  res_7_0_0_a_17,
  res_7_0_0_a_25,
  res_7_0_0_a_18,
  res_7_0_0_a_19,
  res_7_0_0_a_26,
  res_7_0_0_a_24,
  res_7_0_0_a_22,
  res_7_0_0_a_20,
  res_7_0_0_a_21,
  res_7_0_0_a_23,
  res_7_0_0_a_27
);
output res_7_0_0_a3_0 ;
output res_7_0_0_o3_0 ;
output res_7_0_0_a2_0_0_0 ;
output res_7_0_0_0_0 ;
output res_7_0_0_0_1 ;
output res_7_0_0_0_14 ;
output res_7_0_0_0_2 ;
input ext_ctl_o_1 ;
input ext_ctl_o_0 ;
input ext_ctl_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
input r32_o_15 ;
input r32_o_9 ;
input r32_o_23 ;
input r32_o_2 ;
input r32_o_16 ;
input r32_o_3 ;
input r32_o_17 ;
input r32_o_10 ;
input r32_o_24 ;
input r32_o_8 ;
input r32_o_22 ;
input r32_o_6 ;
input r32_o_20 ;
input r32_o_4 ;
input r32_o_18 ;
input r32_o_5 ;
input r32_o_19 ;
input r32_o_7 ;
input r32_o_21 ;
input r32_o_11 ;
input r32_o_25 ;
output res_7_0_0_a_5 ;
output res_7_0_0_a_1 ;
output res_7_0_0_a_0 ;
output res_7_0_0_a_17 ;
output res_7_0_0_a_25 ;
output res_7_0_0_a_18 ;
output res_7_0_0_a_19 ;
output res_7_0_0_a_26 ;
output res_7_0_0_a_24 ;
output res_7_0_0_a_22 ;
output res_7_0_0_a_20 ;
output res_7_0_0_a_21 ;
output res_7_0_0_a_23 ;
output res_7_0_0_a_27 ;
wire res_7_0_0_a3_0 ;
wire res_7_0_0_o3_0 ;
wire res_7_0_0_a2_0_0_0 ;
wire res_7_0_0_0_0 ;
wire res_7_0_0_0_1 ;
wire res_7_0_0_0_14 ;
wire res_7_0_0_0_2 ;
wire ext_ctl_o_1 ;
wire ext_ctl_o_0 ;
wire ext_ctl_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire r32_o_15 ;
wire r32_o_9 ;
wire r32_o_23 ;
wire r32_o_2 ;
wire r32_o_16 ;
wire r32_o_3 ;
wire r32_o_17 ;
wire r32_o_10 ;
wire r32_o_24 ;
wire r32_o_8 ;
wire r32_o_22 ;
wire r32_o_6 ;
wire r32_o_20 ;
wire r32_o_4 ;
wire r32_o_18 ;
wire r32_o_5 ;
wire r32_o_19 ;
wire r32_o_7 ;
wire r32_o_21 ;
wire r32_o_11 ;
wire r32_o_25 ;
wire res_7_0_0_a_5 ;
wire res_7_0_0_a_1 ;
wire res_7_0_0_a_0 ;
wire res_7_0_0_a_17 ;
wire res_7_0_0_a_25 ;
wire res_7_0_0_a_18 ;
wire res_7_0_0_a_19 ;
wire res_7_0_0_a_26 ;
wire res_7_0_0_a_24 ;
wire res_7_0_0_a_22 ;
wire res_7_0_0_a_20 ;
wire res_7_0_0_a_21 ;
wire res_7_0_0_a_23 ;
wire res_7_0_0_a_27 ;
wire [16:2] res_7_0_0_0_a;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @7:31
  cyclone_lcell res_7_0_0_a_27_ (
        .combout(res_7_0_0_a_27),
        .dataa(r32_o_25),
        .datab(r32_o_11),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_27_.operation_mode="normal";
defparam res_7_0_0_a_27_.output_mode="comb_only";
defparam res_7_0_0_a_27_.lut_mask="0ac0";
defparam res_7_0_0_a_27_.synch_mode="off";
defparam res_7_0_0_a_27_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_23_ (
        .combout(res_7_0_0_a_23),
        .dataa(r32_o_21),
        .datab(r32_o_7),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_23_.operation_mode="normal";
defparam res_7_0_0_a_23_.output_mode="comb_only";
defparam res_7_0_0_a_23_.lut_mask="0ac0";
defparam res_7_0_0_a_23_.synch_mode="off";
defparam res_7_0_0_a_23_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_21_ (
        .combout(res_7_0_0_a_21),
        .dataa(r32_o_19),
        .datab(r32_o_5),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_21_.operation_mode="normal";
defparam res_7_0_0_a_21_.output_mode="comb_only";
defparam res_7_0_0_a_21_.lut_mask="0ac0";
defparam res_7_0_0_a_21_.synch_mode="off";
defparam res_7_0_0_a_21_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_20_ (
        .combout(res_7_0_0_a_20),
        .dataa(r32_o_18),
        .datab(r32_o_4),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_20_.operation_mode="normal";
defparam res_7_0_0_a_20_.output_mode="comb_only";
defparam res_7_0_0_a_20_.lut_mask="0ac0";
defparam res_7_0_0_a_20_.synch_mode="off";
defparam res_7_0_0_a_20_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_22_ (
        .combout(res_7_0_0_a_22),
        .dataa(r32_o_20),
        .datab(r32_o_6),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_22_.operation_mode="normal";
defparam res_7_0_0_a_22_.output_mode="comb_only";
defparam res_7_0_0_a_22_.lut_mask="0ac0";
defparam res_7_0_0_a_22_.synch_mode="off";
defparam res_7_0_0_a_22_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_24_ (
        .combout(res_7_0_0_a_24),
        .dataa(r32_o_22),
        .datab(r32_o_8),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_24_.operation_mode="normal";
defparam res_7_0_0_a_24_.output_mode="comb_only";
defparam res_7_0_0_a_24_.lut_mask="0ac0";
defparam res_7_0_0_a_24_.synch_mode="off";
defparam res_7_0_0_a_24_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_26_ (
        .combout(res_7_0_0_a_26),
        .dataa(r32_o_24),
        .datab(r32_o_10),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_26_.operation_mode="normal";
defparam res_7_0_0_a_26_.output_mode="comb_only";
defparam res_7_0_0_a_26_.lut_mask="0ac0";
defparam res_7_0_0_a_26_.synch_mode="off";
defparam res_7_0_0_a_26_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_19_ (
        .combout(res_7_0_0_a_19),
        .dataa(r32_o_17),
        .datab(r32_o_3),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_19_.operation_mode="normal";
defparam res_7_0_0_a_19_.output_mode="comb_only";
defparam res_7_0_0_a_19_.lut_mask="0ac0";
defparam res_7_0_0_a_19_.synch_mode="off";
defparam res_7_0_0_a_19_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_18_ (
        .combout(res_7_0_0_a_18),
        .dataa(r32_o_16),
        .datab(r32_o_2),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_18_.operation_mode="normal";
defparam res_7_0_0_a_18_.output_mode="comb_only";
defparam res_7_0_0_a_18_.lut_mask="0ac0";
defparam res_7_0_0_a_18_.synch_mode="off";
defparam res_7_0_0_a_18_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_25_ (
        .combout(res_7_0_0_a_25),
        .dataa(r32_o_23),
        .datab(r32_o_9),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_25_.operation_mode="normal";
defparam res_7_0_0_a_25_.output_mode="comb_only";
defparam res_7_0_0_a_25_.lut_mask="0ac0";
defparam res_7_0_0_a_25_.synch_mode="off";
defparam res_7_0_0_a_25_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_0_4_ (
        .combout(res_7_0_0_0_2),
        .dataa(ext_ctl_o_0),
        .datab(ext_ctl_o_1),
        .datac(res_7_0_0_0_a[4]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_0_4_.operation_mode="normal";
defparam res_7_0_0_0_4_.output_mode="comb_only";
defparam res_7_0_0_0_4_.lut_mask="4242";
defparam res_7_0_0_0_4_.synch_mode="off";
defparam res_7_0_0_0_4_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_0_a_4_ (
        .combout(res_7_0_0_0_a[4]),
        .dataa(r32_o_10),
        .datab(r32_o_4),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_0_a_4_.operation_mode="normal";
defparam res_7_0_0_0_a_4_.output_mode="comb_only";
defparam res_7_0_0_0_a_4_.lut_mask="0c53";
defparam res_7_0_0_0_a_4_.synch_mode="off";
defparam res_7_0_0_0_a_4_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_0_16_ (
        .combout(res_7_0_0_0_14),
        .dataa(ext_ctl_o_2),
        .datab(ext_ctl_o_0),
        .datac(ext_ctl_o_1),
        .datad(res_7_0_0_0_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_0_16_.operation_mode="normal";
defparam res_7_0_0_0_16_.output_mode="comb_only";
defparam res_7_0_0_0_16_.lut_mask="0024";
defparam res_7_0_0_0_16_.synch_mode="off";
defparam res_7_0_0_0_16_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_0_a_16_ (
        .combout(res_7_0_0_0_a[16]),
        .dataa(r32_o_15),
        .datab(r32_o_0),
        .datac(ext_ctl_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_0_a_16_.operation_mode="normal";
defparam res_7_0_0_0_a_16_.output_mode="comb_only";
defparam res_7_0_0_0_a_16_.lut_mask="3535";
defparam res_7_0_0_0_a_16_.synch_mode="off";
defparam res_7_0_0_0_a_16_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_0_3_ (
        .combout(res_7_0_0_0_1),
        .dataa(ext_ctl_o_0),
        .datab(ext_ctl_o_1),
        .datac(res_7_0_0_0_a[3]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_0_3_.operation_mode="normal";
defparam res_7_0_0_0_3_.output_mode="comb_only";
defparam res_7_0_0_0_3_.lut_mask="4242";
defparam res_7_0_0_0_3_.synch_mode="off";
defparam res_7_0_0_0_3_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_0_a_3_ (
        .combout(res_7_0_0_0_a[3]),
        .dataa(r32_o_9),
        .datab(r32_o_3),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_0_a_3_.operation_mode="normal";
defparam res_7_0_0_0_a_3_.output_mode="comb_only";
defparam res_7_0_0_0_a_3_.lut_mask="0c53";
defparam res_7_0_0_0_a_3_.synch_mode="off";
defparam res_7_0_0_0_a_3_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_0_2_ (
        .combout(res_7_0_0_0_0),
        .dataa(ext_ctl_o_0),
        .datab(ext_ctl_o_1),
        .datac(res_7_0_0_0_a[2]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_0_2_.operation_mode="normal";
defparam res_7_0_0_0_2_.output_mode="comb_only";
defparam res_7_0_0_0_2_.lut_mask="4242";
defparam res_7_0_0_0_2_.synch_mode="off";
defparam res_7_0_0_0_2_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_0_a_2_ (
        .combout(res_7_0_0_0_a[2]),
        .dataa(r32_o_8),
        .datab(r32_o_2),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_0_a_2_.operation_mode="normal";
defparam res_7_0_0_0_a_2_.output_mode="comb_only";
defparam res_7_0_0_0_a_2_.lut_mask="0c53";
defparam res_7_0_0_0_a_2_.synch_mode="off";
defparam res_7_0_0_0_a_2_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_17_ (
        .combout(res_7_0_0_a_17),
        .dataa(r32_o_15),
        .datab(ext_ctl_o_2),
        .datac(ext_ctl_o_0),
        .datad(res_7_0_0_a2_0_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_17_.operation_mode="normal";
defparam res_7_0_0_a_17_.output_mode="comb_only";
defparam res_7_0_0_a_17_.lut_mask="202f";
defparam res_7_0_0_a_17_.synch_mode="off";
defparam res_7_0_0_a_17_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_0_ (
        .combout(res_7_0_0_a_0),
        .dataa(r32_o_6),
        .datab(r32_o_0),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_0_.operation_mode="normal";
defparam res_7_0_0_a_0_.output_mode="comb_only";
defparam res_7_0_0_a_0_.lut_mask="0c53";
defparam res_7_0_0_a_0_.synch_mode="off";
defparam res_7_0_0_a_0_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_1_ (
        .combout(res_7_0_0_a_1),
        .dataa(r32_o_7),
        .datab(r32_o_1),
        .datac(ext_ctl_o_2),
        .datad(ext_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_1_.operation_mode="normal";
defparam res_7_0_0_a_1_.output_mode="comb_only";
defparam res_7_0_0_a_1_.lut_mask="0c53";
defparam res_7_0_0_a_1_.synch_mode="off";
defparam res_7_0_0_a_1_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a_5_ (
        .combout(res_7_0_0_a_5),
        .dataa(ext_ctl_o_2),
        .datab(ext_ctl_o_0),
        .datac(ext_ctl_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a_5_.operation_mode="normal";
defparam res_7_0_0_a_5_.output_mode="comb_only";
defparam res_7_0_0_a_5_.lut_mask="1414";
defparam res_7_0_0_a_5_.synch_mode="off";
defparam res_7_0_0_a_5_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_o3_2_ (
        .combout(res_7_0_0_o3_0),
        .dataa(ext_ctl_o_2),
        .datab(ext_ctl_o_0),
        .datac(ext_ctl_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_o3_2_.operation_mode="normal";
defparam res_7_0_0_o3_2_.output_mode="comb_only";
defparam res_7_0_0_o3_2_.lut_mask="4242";
defparam res_7_0_0_o3_2_.synch_mode="off";
defparam res_7_0_0_o3_2_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a3_18_ (
        .combout(res_7_0_0_a3_0),
        .dataa(r32_o_15),
        .datab(ext_ctl_o_2),
        .datac(ext_ctl_o_0),
        .datad(ext_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a3_18_.operation_mode="normal";
defparam res_7_0_0_a3_18_.output_mode="comb_only";
defparam res_7_0_0_a3_18_.lut_mask="0028";
defparam res_7_0_0_a3_18_.synch_mode="off";
defparam res_7_0_0_a3_18_.sum_lutc_input="datac";
// @7:31
  cyclone_lcell res_7_0_0_a2_0_0_16_ (
        .combout(res_7_0_0_a2_0_0_0),
        .dataa(ext_ctl_o_2),
        .datab(ext_ctl_o_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam res_7_0_0_a2_0_0_16_.operation_mode="normal";
defparam res_7_0_0_a2_0_0_16_.output_mode="comb_only";
defparam res_7_0_0_a2_0_0_16_.lut_mask="8888";
defparam res_7_0_0_a2_0_0_16_.synch_mode="off";
defparam res_7_0_0_a2_0_0_16_.sum_lutc_input="datac";
endmodule /* ext */

// VQM4.1+ 
module r32_reg_clr_cls (
  zz_ins_i_c_24,
  zz_ins_i_c_23,
  zz_ins_i_c_20,
  zz_ins_i_c_19,
  zz_ins_i_c_16,
  zz_ins_i_c_15,
  zz_ins_i_c_12,
  zz_ins_i_c_11,
  zz_ins_i_c_8,
  zz_ins_i_c_7,
  zz_ins_i_c_4,
  zz_ins_i_c_3,
  zz_ins_i_c_0,
  zz_ins_i_c_25,
  zz_ins_i_c_22,
  zz_ins_i_c_21,
  zz_ins_i_c_18,
  zz_ins_i_c_17,
  zz_ins_i_c_14,
  zz_ins_i_c_13,
  zz_ins_i_c_10,
  zz_ins_i_c_9,
  zz_ins_i_c_6,
  zz_ins_i_c_5,
  zz_ins_i_c_2,
  zz_ins_i_c_1,
  r32_o_24,
  r32_o_23,
  r32_o_20,
  r32_o_19,
  r32_o_16,
  r32_o_15,
  r32_o_12,
  r32_o_11,
  r32_o_8,
  r32_o_7,
  r32_o_4,
  r32_o_3,
  r32_o_0,
  r32_o_25,
  r32_o_22,
  r32_o_21,
  r32_o_18,
  r32_o_17,
  r32_o_14,
  r32_o_13,
  r32_o_10,
  r32_o_9,
  r32_o_6,
  r32_o_5,
  r32_o_2,
  r32_o_1,
  NET1572_i_i,
  NET1606_i,
  clk_c
);
input zz_ins_i_c_24 ;
input zz_ins_i_c_23 ;
input zz_ins_i_c_20 ;
input zz_ins_i_c_19 ;
input zz_ins_i_c_16 ;
input zz_ins_i_c_15 ;
input zz_ins_i_c_12 ;
input zz_ins_i_c_11 ;
input zz_ins_i_c_8 ;
input zz_ins_i_c_7 ;
input zz_ins_i_c_4 ;
input zz_ins_i_c_3 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_25 ;
input zz_ins_i_c_22 ;
input zz_ins_i_c_21 ;
input zz_ins_i_c_18 ;
input zz_ins_i_c_17 ;
input zz_ins_i_c_14 ;
input zz_ins_i_c_13 ;
input zz_ins_i_c_10 ;
input zz_ins_i_c_9 ;
input zz_ins_i_c_6 ;
input zz_ins_i_c_5 ;
input zz_ins_i_c_2 ;
input zz_ins_i_c_1 ;
output r32_o_24 ;
output r32_o_23 ;
output r32_o_20 ;
output r32_o_19 ;
output r32_o_16 ;
output r32_o_15 ;
output r32_o_12 ;
output r32_o_11 ;
output r32_o_8 ;
output r32_o_7 ;
output r32_o_4 ;
output r32_o_3 ;
output r32_o_0 ;
output r32_o_25 ;
output r32_o_22 ;
output r32_o_21 ;
output r32_o_18 ;
output r32_o_17 ;
output r32_o_14 ;
output r32_o_13 ;
output r32_o_10 ;
output r32_o_9 ;
output r32_o_6 ;
output r32_o_5 ;
output r32_o_2 ;
output r32_o_1 ;
input NET1572_i_i ;
input NET1606_i ;
input clk_c ;
wire zz_ins_i_c_24 ;
wire zz_ins_i_c_23 ;
wire zz_ins_i_c_20 ;
wire zz_ins_i_c_19 ;
wire zz_ins_i_c_16 ;
wire zz_ins_i_c_15 ;
wire zz_ins_i_c_12 ;
wire zz_ins_i_c_11 ;
wire zz_ins_i_c_8 ;
wire zz_ins_i_c_7 ;
wire zz_ins_i_c_4 ;
wire zz_ins_i_c_3 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_25 ;
wire zz_ins_i_c_22 ;
wire zz_ins_i_c_21 ;
wire zz_ins_i_c_18 ;
wire zz_ins_i_c_17 ;
wire zz_ins_i_c_14 ;
wire zz_ins_i_c_13 ;
wire zz_ins_i_c_10 ;
wire zz_ins_i_c_9 ;
wire zz_ins_i_c_6 ;
wire zz_ins_i_c_5 ;
wire zz_ins_i_c_2 ;
wire zz_ins_i_c_1 ;
wire r32_o_24 ;
wire r32_o_23 ;
wire r32_o_20 ;
wire r32_o_19 ;
wire r32_o_16 ;
wire r32_o_15 ;
wire r32_o_12 ;
wire r32_o_11 ;
wire r32_o_8 ;
wire r32_o_7 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_0 ;
wire r32_o_25 ;
wire r32_o_22 ;
wire r32_o_21 ;
wire r32_o_18 ;
wire r32_o_17 ;
wire r32_o_14 ;
wire r32_o_13 ;
wire r32_o_10 ;
wire r32_o_9 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire NET1572_i_i ;
wire NET1606_i ;
wire clk_c ;
wire N_6 ;
wire N_5 ;
wire N_4 ;
wire N_3 ;
wire N_2 ;
wire N_1 ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:116
  cyclone_lcell r32_o_1__Z (
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_1),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_only";
defparam r32_o_1__Z.lut_mask="aaaa";
defparam r32_o_1__Z.synch_mode="on";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_2__Z (
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(zz_ins_i_c_2),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_only";
defparam r32_o_2__Z.lut_mask="aaaa";
defparam r32_o_2__Z.synch_mode="on";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_5__Z (
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(zz_ins_i_c_5),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_only";
defparam r32_o_5__Z.lut_mask="aaaa";
defparam r32_o_5__Z.synch_mode="on";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_6__Z (
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(zz_ins_i_c_6),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_only";
defparam r32_o_6__Z.lut_mask="aaaa";
defparam r32_o_6__Z.synch_mode="on";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_9__Z (
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(zz_ins_i_c_9),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_only";
defparam r32_o_9__Z.lut_mask="aaaa";
defparam r32_o_9__Z.synch_mode="on";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_10__Z (
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(zz_ins_i_c_10),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_only";
defparam r32_o_10__Z.lut_mask="aaaa";
defparam r32_o_10__Z.synch_mode="on";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_13__Z (
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(zz_ins_i_c_13),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_only";
defparam r32_o_13__Z.lut_mask="aaaa";
defparam r32_o_13__Z.synch_mode="on";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_14__Z (
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(zz_ins_i_c_14),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_only";
defparam r32_o_14__Z.lut_mask="aaaa";
defparam r32_o_14__Z.synch_mode="on";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_17__Z (
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(zz_ins_i_c_17),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_only";
defparam r32_o_17__Z.lut_mask="aaaa";
defparam r32_o_17__Z.synch_mode="on";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_18__Z (
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(zz_ins_i_c_18),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_only";
defparam r32_o_18__Z.lut_mask="aaaa";
defparam r32_o_18__Z.synch_mode="on";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_21__Z (
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(zz_ins_i_c_21),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_only";
defparam r32_o_21__Z.lut_mask="aaaa";
defparam r32_o_21__Z.synch_mode="on";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_22__Z (
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(zz_ins_i_c_22),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_only";
defparam r32_o_22__Z.lut_mask="aaaa";
defparam r32_o_22__Z.synch_mode="on";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_25__Z (
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(zz_ins_i_c_25),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_only";
defparam r32_o_25__Z.lut_mask="aaaa";
defparam r32_o_25__Z.synch_mode="on";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_0__Z (
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_only";
defparam r32_o_0__Z.lut_mask="aaaa";
defparam r32_o_0__Z.synch_mode="on";
defparam r32_o_0__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_3__Z (
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(zz_ins_i_c_3),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_only";
defparam r32_o_3__Z.lut_mask="aaaa";
defparam r32_o_3__Z.synch_mode="on";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_4__Z (
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(zz_ins_i_c_4),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_only";
defparam r32_o_4__Z.lut_mask="aaaa";
defparam r32_o_4__Z.synch_mode="on";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_7__Z (
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(zz_ins_i_c_7),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_only";
defparam r32_o_7__Z.lut_mask="aaaa";
defparam r32_o_7__Z.synch_mode="on";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_8__Z (
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(zz_ins_i_c_8),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_only";
defparam r32_o_8__Z.lut_mask="aaaa";
defparam r32_o_8__Z.synch_mode="on";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_11__Z (
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(zz_ins_i_c_11),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_only";
defparam r32_o_11__Z.lut_mask="aaaa";
defparam r32_o_11__Z.synch_mode="on";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_12__Z (
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(zz_ins_i_c_12),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_only";
defparam r32_o_12__Z.lut_mask="aaaa";
defparam r32_o_12__Z.synch_mode="on";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_15__Z (
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(zz_ins_i_c_15),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_only";
defparam r32_o_15__Z.lut_mask="aaaa";
defparam r32_o_15__Z.synch_mode="on";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_16__Z (
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(zz_ins_i_c_16),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_only";
defparam r32_o_16__Z.lut_mask="aaaa";
defparam r32_o_16__Z.synch_mode="on";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_19__Z (
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(zz_ins_i_c_19),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_only";
defparam r32_o_19__Z.lut_mask="aaaa";
defparam r32_o_19__Z.synch_mode="on";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_20__Z (
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(zz_ins_i_c_20),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_only";
defparam r32_o_20__Z.lut_mask="aaaa";
defparam r32_o_20__Z.synch_mode="on";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_23__Z (
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(zz_ins_i_c_23),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_only";
defparam r32_o_23__Z.lut_mask="aaaa";
defparam r32_o_23__Z.synch_mode="on";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:116
  cyclone_lcell r32_o_24__Z (
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(zz_ins_i_c_24),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_only";
defparam r32_o_24__Z.lut_mask="aaaa";
defparam r32_o_24__Z.synch_mode="on";
defparam r32_o_24__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* r32_reg_clr_cls */

// VQM4.1+ 
module reg_array (
  reg_bank_30,
  reg_bank_29,
  reg_bank_28,
  reg_bank_27,
  reg_bank_26,
  reg_bank_25,
  reg_bank_24,
  reg_bank_23,
  reg_bank_22,
  reg_bank_21,
  reg_bank_20,
  reg_bank_19,
  reg_bank_18,
  reg_bank_17,
  reg_bank_16,
  reg_bank_15,
  reg_bank_14,
  reg_bank_13,
  reg_bank_12,
  reg_bank_11,
  reg_bank_10,
  reg_bank_9,
  reg_bank_8,
  reg_bank_7,
  reg_bank_6,
  reg_bank_5,
  reg_bank_4,
  reg_bank_3,
  reg_bank_2,
  reg_bank_1_d0,
  reg_bank_0,
  reg_bank_1_31,
  reg_bank_1_30,
  reg_bank_1_29,
  reg_bank_1_28,
  reg_bank_1_27,
  reg_bank_1_26,
  reg_bank_1_25,
  reg_bank_1_24,
  reg_bank_1_23,
  reg_bank_1_22,
  reg_bank_1_21,
  reg_bank_1_20,
  reg_bank_1_19,
  reg_bank_1_18,
  reg_bank_1_17,
  reg_bank_1_16,
  reg_bank_1_15,
  reg_bank_1_14,
  reg_bank_1_13,
  reg_bank_1_12,
  reg_bank_1_11,
  reg_bank_1_10,
  reg_bank_1_9,
  reg_bank_1_8,
  reg_bank_1_7,
  reg_bank_1_6,
  reg_bank_1_5,
  reg_bank_1_4,
  reg_bank_1_3,
  reg_bank_1_2,
  reg_bank_1_1,
  reg_bank_1_0,
  reg_bank_m_0,
  CurrState_Sreg0_0,
  wb_we_o_0,
  zz_ins_i_c_5,
  zz_ins_i_c_6,
  zz_ins_i_c_7,
  zz_ins_i_c_8,
  zz_ins_i_c_9,
  zz_ins_i_c_0,
  zz_ins_i_c_1,
  zz_ins_i_c_2,
  zz_ins_i_c_3,
  zz_ins_i_c_4,
  wb_mux_ctl_o_0,
  r32_o_0_0,
  r32_o_0_1,
  r32_o_0_2,
  r32_o_0_3,
  r32_o_0_4,
  r32_o_0_5,
  r32_o_0_6,
  r32_o_0_7,
  r32_o_0_8,
  r32_o_0_9,
  r32_o_0_10,
  r32_o_0_11,
  r32_o_0_12,
  r32_o_0_13,
  r32_o_0_14,
  r32_o_0_15,
  r32_o_0_16,
  r32_o_0_17,
  r32_o_0_18,
  r32_o_0_19,
  r32_o_0_20,
  r32_o_0_21,
  r32_o_0_22,
  r32_o_0_23,
  r32_o_0_24,
  r32_o_0_25,
  r32_o_0_26,
  r32_o_0_27,
  r32_o_0_28,
  r32_o_0_29,
  r32_o_0_30,
  r32_o_0_31,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  dout_0,
  dout_1,
  dout_2,
  dout_3,
  dout_4,
  dout_5,
  dout_6,
  dout_7,
  dout_8,
  dout_9,
  dout_10,
  dout_11,
  dout_12,
  dout_13,
  dout_14,
  dout_15,
  dout_16,
  dout_17,
  dout_18,
  dout_19,
  dout_20,
  dout_21,
  dout_22,
  dout_23,
  dout_24,
  dout_25,
  dout_26,
  dout_27,
  dout_28,
  dout_29,
  dout_30,
  dout_31,
  r_data_0,
  r_data_1,
  r_data_2,
  r_data_3,
  r_data_4,
  r_data_5,
  r_data_6,
  r_data_7,
  r_data_8,
  r_data_9,
  r_data_10,
  r_data_11,
  r_data_12,
  r_data_13,
  r_data_14,
  r_data_15,
  r_data_16,
  r_data_17,
  r_data_18,
  r_data_19,
  r_data_20,
  r_data_21,
  r_data_22,
  r_data_23,
  r_data_24,
  r_data_25,
  r_data_26,
  r_data_27,
  r_data_28,
  r_data_29,
  r_data_30,
  r_data_31,
  wb_o_0,
  wb_o_1,
  wb_o_2,
  wb_o_3,
  wb_o_4,
  wb_o_5,
  wb_o_6,
  wb_o_7,
  wb_o_8,
  wb_o_9,
  wb_o_10,
  wb_o_11,
  wb_o_12,
  wb_o_13,
  wb_o_14,
  wb_o_15,
  wb_o_16,
  wb_o_17,
  wb_o_18,
  wb_o_19,
  wb_o_20,
  wb_o_21,
  wb_o_22,
  wb_o_23,
  wb_o_24,
  wb_o_25,
  wb_o_26,
  wb_o_27,
  wb_o_28,
  wb_o_29,
  wb_o_30,
  wb_o_31,
  r5_o_0,
  r5_o_1,
  r5_o_2,
  r5_o_3,
  r5_o_4,
  N_30_i_0_s2,
  un32_mux_fw_0,
  N_32_i_0_s3,
  N_36_i_0_s3,
  un32_mux_fw,
  N_34_i_0_s2,
  NET1572_i_i,
  clk_c
);
output reg_bank_30 ;
output reg_bank_29 ;
output reg_bank_28 ;
output reg_bank_27 ;
output reg_bank_26 ;
output reg_bank_25 ;
output reg_bank_24 ;
output reg_bank_23 ;
output reg_bank_22 ;
output reg_bank_21 ;
output reg_bank_20 ;
output reg_bank_19 ;
output reg_bank_18 ;
output reg_bank_17 ;
output reg_bank_16 ;
output reg_bank_15 ;
output reg_bank_14 ;
output reg_bank_13 ;
output reg_bank_12 ;
output reg_bank_11 ;
output reg_bank_10 ;
output reg_bank_9 ;
output reg_bank_8 ;
output reg_bank_7 ;
output reg_bank_6 ;
output reg_bank_5 ;
output reg_bank_4 ;
output reg_bank_3 ;
output reg_bank_2 ;
output reg_bank_1_d0 ;
output reg_bank_0 ;
output reg_bank_1_31 ;
output reg_bank_1_30 ;
output reg_bank_1_29 ;
output reg_bank_1_28 ;
output reg_bank_1_27 ;
output reg_bank_1_26 ;
output reg_bank_1_25 ;
output reg_bank_1_24 ;
output reg_bank_1_23 ;
output reg_bank_1_22 ;
output reg_bank_1_21 ;
output reg_bank_1_20 ;
output reg_bank_1_19 ;
output reg_bank_1_18 ;
output reg_bank_1_17 ;
output reg_bank_1_16 ;
output reg_bank_1_15 ;
output reg_bank_1_14 ;
output reg_bank_1_13 ;
output reg_bank_1_12 ;
output reg_bank_1_11 ;
output reg_bank_1_10 ;
output reg_bank_1_9 ;
output reg_bank_1_8 ;
output reg_bank_1_7 ;
output reg_bank_1_6 ;
output reg_bank_1_5 ;
output reg_bank_1_4 ;
output reg_bank_1_3 ;
output reg_bank_1_2 ;
output reg_bank_1_1 ;
output reg_bank_1_0 ;
output reg_bank_m_0 ;
input CurrState_Sreg0_0 ;
input wb_we_o_0 ;
input zz_ins_i_c_5 ;
input zz_ins_i_c_6 ;
input zz_ins_i_c_7 ;
input zz_ins_i_c_8 ;
input zz_ins_i_c_9 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_1 ;
input zz_ins_i_c_2 ;
input zz_ins_i_c_3 ;
input zz_ins_i_c_4 ;
input wb_mux_ctl_o_0 ;
input r32_o_0_0 ;
input r32_o_0_1 ;
input r32_o_0_2 ;
input r32_o_0_3 ;
input r32_o_0_4 ;
input r32_o_0_5 ;
input r32_o_0_6 ;
input r32_o_0_7 ;
input r32_o_0_8 ;
input r32_o_0_9 ;
input r32_o_0_10 ;
input r32_o_0_11 ;
input r32_o_0_12 ;
input r32_o_0_13 ;
input r32_o_0_14 ;
input r32_o_0_15 ;
input r32_o_0_16 ;
input r32_o_0_17 ;
input r32_o_0_18 ;
input r32_o_0_19 ;
input r32_o_0_20 ;
input r32_o_0_21 ;
input r32_o_0_22 ;
input r32_o_0_23 ;
input r32_o_0_24 ;
input r32_o_0_25 ;
input r32_o_0_26 ;
input r32_o_0_27 ;
input r32_o_0_28 ;
input r32_o_0_29 ;
input r32_o_0_30 ;
input r32_o_0_31 ;
input r32_o_0 ;
input r32_o_1 ;
input r32_o_2 ;
input r32_o_3 ;
input r32_o_4 ;
input r32_o_5 ;
input r32_o_6 ;
input r32_o_7 ;
input r32_o_8 ;
input r32_o_9 ;
input r32_o_10 ;
input r32_o_11 ;
input r32_o_12 ;
input r32_o_13 ;
input r32_o_14 ;
input r32_o_15 ;
input r32_o_16 ;
input r32_o_17 ;
input r32_o_18 ;
input r32_o_19 ;
input r32_o_20 ;
input r32_o_21 ;
input r32_o_22 ;
input r32_o_23 ;
input r32_o_24 ;
input r32_o_25 ;
input r32_o_26 ;
input r32_o_27 ;
input r32_o_28 ;
input r32_o_29 ;
input r32_o_30 ;
input r32_o_31 ;
input dout_0 ;
input dout_1 ;
input dout_2 ;
input dout_3 ;
input dout_4 ;
input dout_5 ;
input dout_6 ;
input dout_7 ;
input dout_8 ;
input dout_9 ;
input dout_10 ;
input dout_11 ;
input dout_12 ;
input dout_13 ;
input dout_14 ;
input dout_15 ;
input dout_16 ;
input dout_17 ;
input dout_18 ;
input dout_19 ;
input dout_20 ;
input dout_21 ;
input dout_22 ;
input dout_23 ;
input dout_24 ;
input dout_25 ;
input dout_26 ;
input dout_27 ;
input dout_28 ;
input dout_29 ;
input dout_30 ;
input dout_31 ;
output r_data_0 ;
output r_data_1 ;
output r_data_2 ;
output r_data_3 ;
output r_data_4 ;
output r_data_5 ;
output r_data_6 ;
output r_data_7 ;
output r_data_8 ;
output r_data_9 ;
output r_data_10 ;
output r_data_11 ;
output r_data_12 ;
output r_data_13 ;
output r_data_14 ;
output r_data_15 ;
output r_data_16 ;
output r_data_17 ;
output r_data_18 ;
output r_data_19 ;
output r_data_20 ;
output r_data_21 ;
output r_data_22 ;
output r_data_23 ;
output r_data_24 ;
output r_data_25 ;
output r_data_26 ;
output r_data_27 ;
output r_data_28 ;
output r_data_29 ;
output r_data_30 ;
output r_data_31 ;
output wb_o_0 ;
output wb_o_1 ;
output wb_o_2 ;
output wb_o_3 ;
output wb_o_4 ;
output wb_o_5 ;
output wb_o_6 ;
output wb_o_7 ;
output wb_o_8 ;
output wb_o_9 ;
output wb_o_10 ;
output wb_o_11 ;
output wb_o_12 ;
output wb_o_13 ;
output wb_o_14 ;
output wb_o_15 ;
output wb_o_16 ;
output wb_o_17 ;
output wb_o_18 ;
output wb_o_19 ;
output wb_o_20 ;
output wb_o_21 ;
output wb_o_22 ;
output wb_o_23 ;
output wb_o_24 ;
output wb_o_25 ;
output wb_o_26 ;
output wb_o_27 ;
output wb_o_28 ;
output wb_o_29 ;
output wb_o_30 ;
output wb_o_31 ;
input r5_o_0 ;
input r5_o_1 ;
input r5_o_2 ;
input r5_o_3 ;
input r5_o_4 ;
output N_30_i_0_s2 ;
input un32_mux_fw_0 ;
output N_32_i_0_s3 ;
output N_36_i_0_s3 ;
input un32_mux_fw ;
output N_34_i_0_s2 ;
input NET1572_i_i ;
input clk_c ;
wire reg_bank_30 ;
wire reg_bank_29 ;
wire reg_bank_28 ;
wire reg_bank_27 ;
wire reg_bank_26 ;
wire reg_bank_25 ;
wire reg_bank_24 ;
wire reg_bank_23 ;
wire reg_bank_22 ;
wire reg_bank_21 ;
wire reg_bank_20 ;
wire reg_bank_19 ;
wire reg_bank_18 ;
wire reg_bank_17 ;
wire reg_bank_16 ;
wire reg_bank_15 ;
wire reg_bank_14 ;
wire reg_bank_13 ;
wire reg_bank_12 ;
wire reg_bank_11 ;
wire reg_bank_10 ;
wire reg_bank_9 ;
wire reg_bank_8 ;
wire reg_bank_7 ;
wire reg_bank_6 ;
wire reg_bank_5 ;
wire reg_bank_4 ;
wire reg_bank_3 ;
wire reg_bank_2 ;
wire reg_bank_1_d0 ;
wire reg_bank_0 ;
wire reg_bank_1_31 ;
wire reg_bank_1_30 ;
wire reg_bank_1_29 ;
wire reg_bank_1_28 ;
wire reg_bank_1_27 ;
wire reg_bank_1_26 ;
wire reg_bank_1_25 ;
wire reg_bank_1_24 ;
wire reg_bank_1_23 ;
wire reg_bank_1_22 ;
wire reg_bank_1_21 ;
wire reg_bank_1_20 ;
wire reg_bank_1_19 ;
wire reg_bank_1_18 ;
wire reg_bank_1_17 ;
wire reg_bank_1_16 ;
wire reg_bank_1_15 ;
wire reg_bank_1_14 ;
wire reg_bank_1_13 ;
wire reg_bank_1_12 ;
wire reg_bank_1_11 ;
wire reg_bank_1_10 ;
wire reg_bank_1_9 ;
wire reg_bank_1_8 ;
wire reg_bank_1_7 ;
wire reg_bank_1_6 ;
wire reg_bank_1_5 ;
wire reg_bank_1_4 ;
wire reg_bank_1_3 ;
wire reg_bank_1_2 ;
wire reg_bank_1_1 ;
wire reg_bank_1_0 ;
wire reg_bank_m_0 ;
wire CurrState_Sreg0_0 ;
wire wb_we_o_0 ;
wire zz_ins_i_c_5 ;
wire zz_ins_i_c_6 ;
wire zz_ins_i_c_7 ;
wire zz_ins_i_c_8 ;
wire zz_ins_i_c_9 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_1 ;
wire zz_ins_i_c_2 ;
wire zz_ins_i_c_3 ;
wire zz_ins_i_c_4 ;
wire wb_mux_ctl_o_0 ;
wire r32_o_0_0 ;
wire r32_o_0_1 ;
wire r32_o_0_2 ;
wire r32_o_0_3 ;
wire r32_o_0_4 ;
wire r32_o_0_5 ;
wire r32_o_0_6 ;
wire r32_o_0_7 ;
wire r32_o_0_8 ;
wire r32_o_0_9 ;
wire r32_o_0_10 ;
wire r32_o_0_11 ;
wire r32_o_0_12 ;
wire r32_o_0_13 ;
wire r32_o_0_14 ;
wire r32_o_0_15 ;
wire r32_o_0_16 ;
wire r32_o_0_17 ;
wire r32_o_0_18 ;
wire r32_o_0_19 ;
wire r32_o_0_20 ;
wire r32_o_0_21 ;
wire r32_o_0_22 ;
wire r32_o_0_23 ;
wire r32_o_0_24 ;
wire r32_o_0_25 ;
wire r32_o_0_26 ;
wire r32_o_0_27 ;
wire r32_o_0_28 ;
wire r32_o_0_29 ;
wire r32_o_0_30 ;
wire r32_o_0_31 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire dout_0 ;
wire dout_1 ;
wire dout_2 ;
wire dout_3 ;
wire dout_4 ;
wire dout_5 ;
wire dout_6 ;
wire dout_7 ;
wire dout_8 ;
wire dout_9 ;
wire dout_10 ;
wire dout_11 ;
wire dout_12 ;
wire dout_13 ;
wire dout_14 ;
wire dout_15 ;
wire dout_16 ;
wire dout_17 ;
wire dout_18 ;
wire dout_19 ;
wire dout_20 ;
wire dout_21 ;
wire dout_22 ;
wire dout_23 ;
wire dout_24 ;
wire dout_25 ;
wire dout_26 ;
wire dout_27 ;
wire dout_28 ;
wire dout_29 ;
wire dout_30 ;
wire dout_31 ;
wire r_data_0 ;
wire r_data_1 ;
wire r_data_2 ;
wire r_data_3 ;
wire r_data_4 ;
wire r_data_5 ;
wire r_data_6 ;
wire r_data_7 ;
wire r_data_8 ;
wire r_data_9 ;
wire r_data_10 ;
wire r_data_11 ;
wire r_data_12 ;
wire r_data_13 ;
wire r_data_14 ;
wire r_data_15 ;
wire r_data_16 ;
wire r_data_17 ;
wire r_data_18 ;
wire r_data_19 ;
wire r_data_20 ;
wire r_data_21 ;
wire r_data_22 ;
wire r_data_23 ;
wire r_data_24 ;
wire r_data_25 ;
wire r_data_26 ;
wire r_data_27 ;
wire r_data_28 ;
wire r_data_29 ;
wire r_data_30 ;
wire r_data_31 ;
wire wb_o_0 ;
wire wb_o_1 ;
wire wb_o_2 ;
wire wb_o_3 ;
wire wb_o_4 ;
wire wb_o_5 ;
wire wb_o_6 ;
wire wb_o_7 ;
wire wb_o_8 ;
wire wb_o_9 ;
wire wb_o_10 ;
wire wb_o_11 ;
wire wb_o_12 ;
wire wb_o_13 ;
wire wb_o_14 ;
wire wb_o_15 ;
wire wb_o_16 ;
wire wb_o_17 ;
wire wb_o_18 ;
wire wb_o_19 ;
wire wb_o_20 ;
wire wb_o_21 ;
wire wb_o_22 ;
wire wb_o_23 ;
wire wb_o_24 ;
wire wb_o_25 ;
wire wb_o_26 ;
wire wb_o_27 ;
wire wb_o_28 ;
wire wb_o_29 ;
wire wb_o_30 ;
wire wb_o_31 ;
wire r5_o_0 ;
wire r5_o_1 ;
wire r5_o_2 ;
wire r5_o_3 ;
wire r5_o_4 ;
wire N_30_i_0_s2 ;
wire un32_mux_fw_0 ;
wire N_32_i_0_s3 ;
wire N_36_i_0_s3 ;
wire un32_mux_fw ;
wire N_34_i_0_s2 ;
wire NET1572_i_i ;
wire clk_c ;
wire [4:0] r_wraddress;
wire [4:0] r_rdaddress_b;
wire [4:0] r_rdaddress_a;
wire [4:0] r_rdaddress_a_0_x;
wire [4:0] r_rdaddress_b_0_x;
wire [31:31] reg_bank;
wire r_wren ;
wire un23_qb_i_0_0_0_0_a2 ;
wire un14_qb_NE ;
wire un23_qa_i_0_0_0_0_a2 ;
wire un14_qa_NE ;
wire un14_qa_NE_1 ;
wire un14_qa_NE_a ;
wire un14_qb_NE_1 ;
wire un14_qb_NE_a ;
wire un23_qa_i_0_0_0_0_a2_a ;
wire un23_qb_i_0_0_0_0_a2_a ;
wire GND ;
wire VCC ;
//@1:1
  assign VCC = 1'b1;
//@1:1
  assign GND = 1'b0;
// @7:152
  cyclone_lcell r_wraddress_4__Z (
        .regout(r_wraddress[4]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_wraddress_4__Z.operation_mode="normal";
defparam r_wraddress_4__Z.output_mode="reg_only";
defparam r_wraddress_4__Z.lut_mask="ff00";
defparam r_wraddress_4__Z.synch_mode="off";
defparam r_wraddress_4__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_wraddress_3__Z (
        .regout(r_wraddress[3]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_wraddress_3__Z.operation_mode="normal";
defparam r_wraddress_3__Z.output_mode="reg_only";
defparam r_wraddress_3__Z.lut_mask="ff00";
defparam r_wraddress_3__Z.synch_mode="off";
defparam r_wraddress_3__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_wraddress_2__Z (
        .regout(r_wraddress[2]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_wraddress_2__Z.operation_mode="normal";
defparam r_wraddress_2__Z.output_mode="reg_only";
defparam r_wraddress_2__Z.lut_mask="ff00";
defparam r_wraddress_2__Z.synch_mode="off";
defparam r_wraddress_2__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_wraddress_1__Z (
        .regout(r_wraddress[1]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_wraddress_1__Z.operation_mode="normal";
defparam r_wraddress_1__Z.output_mode="reg_only";
defparam r_wraddress_1__Z.lut_mask="ff00";
defparam r_wraddress_1__Z.synch_mode="off";
defparam r_wraddress_1__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_wraddress_0__Z (
        .regout(r_wraddress[0]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_wraddress_0__Z.operation_mode="normal";
defparam r_wraddress_0__Z.output_mode="reg_only";
defparam r_wraddress_0__Z.lut_mask="ff00";
defparam r_wraddress_0__Z.synch_mode="off";
defparam r_wraddress_0__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_31__Z (
        .combout(wb_o_31),
        .regout(r_data_31),
        .clk(clk_c),
        .dataa(dout_31),
        .datab(r32_o_31),
        .datac(r32_o_0_31),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_31__Z.operation_mode="normal";
defparam r_data_31__Z.output_mode="reg_and_comb";
defparam r_data_31__Z.lut_mask="eef0";
defparam r_data_31__Z.synch_mode="off";
defparam r_data_31__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_30__Z (
        .combout(wb_o_30),
        .regout(r_data_30),
        .clk(clk_c),
        .dataa(dout_30),
        .datab(r32_o_30),
        .datac(r32_o_0_30),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_30__Z.operation_mode="normal";
defparam r_data_30__Z.output_mode="reg_and_comb";
defparam r_data_30__Z.lut_mask="eef0";
defparam r_data_30__Z.synch_mode="off";
defparam r_data_30__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_29__Z (
        .combout(wb_o_29),
        .regout(r_data_29),
        .clk(clk_c),
        .dataa(dout_29),
        .datab(r32_o_29),
        .datac(r32_o_0_29),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_29__Z.operation_mode="normal";
defparam r_data_29__Z.output_mode="reg_and_comb";
defparam r_data_29__Z.lut_mask="eef0";
defparam r_data_29__Z.synch_mode="off";
defparam r_data_29__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_28__Z (
        .combout(wb_o_28),
        .regout(r_data_28),
        .clk(clk_c),
        .dataa(dout_28),
        .datab(r32_o_28),
        .datac(r32_o_0_28),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_28__Z.operation_mode="normal";
defparam r_data_28__Z.output_mode="reg_and_comb";
defparam r_data_28__Z.lut_mask="eef0";
defparam r_data_28__Z.synch_mode="off";
defparam r_data_28__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_27__Z (
        .combout(wb_o_27),
        .regout(r_data_27),
        .clk(clk_c),
        .dataa(dout_27),
        .datab(r32_o_27),
        .datac(r32_o_0_27),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_27__Z.operation_mode="normal";
defparam r_data_27__Z.output_mode="reg_and_comb";
defparam r_data_27__Z.lut_mask="eef0";
defparam r_data_27__Z.synch_mode="off";
defparam r_data_27__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_26__Z (
        .combout(wb_o_26),
        .regout(r_data_26),
        .clk(clk_c),
        .dataa(dout_26),
        .datab(r32_o_26),
        .datac(r32_o_0_26),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_26__Z.operation_mode="normal";
defparam r_data_26__Z.output_mode="reg_and_comb";
defparam r_data_26__Z.lut_mask="eef0";
defparam r_data_26__Z.synch_mode="off";
defparam r_data_26__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_25__Z (
        .combout(wb_o_25),
        .regout(r_data_25),
        .clk(clk_c),
        .dataa(dout_25),
        .datab(r32_o_25),
        .datac(r32_o_0_25),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_25__Z.operation_mode="normal";
defparam r_data_25__Z.output_mode="reg_and_comb";
defparam r_data_25__Z.lut_mask="eef0";
defparam r_data_25__Z.synch_mode="off";
defparam r_data_25__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_24__Z (
        .combout(wb_o_24),
        .regout(r_data_24),
        .clk(clk_c),
        .dataa(dout_24),
        .datab(r32_o_24),
        .datac(r32_o_0_24),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_24__Z.operation_mode="normal";
defparam r_data_24__Z.output_mode="reg_and_comb";
defparam r_data_24__Z.lut_mask="eef0";
defparam r_data_24__Z.synch_mode="off";
defparam r_data_24__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_23__Z (
        .combout(wb_o_23),
        .regout(r_data_23),
        .clk(clk_c),
        .dataa(dout_23),
        .datab(r32_o_23),
        .datac(r32_o_0_23),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_23__Z.operation_mode="normal";
defparam r_data_23__Z.output_mode="reg_and_comb";
defparam r_data_23__Z.lut_mask="eef0";
defparam r_data_23__Z.synch_mode="off";
defparam r_data_23__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_22__Z (
        .combout(wb_o_22),
        .regout(r_data_22),
        .clk(clk_c),
        .dataa(dout_22),
        .datab(r32_o_22),
        .datac(r32_o_0_22),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_22__Z.operation_mode="normal";
defparam r_data_22__Z.output_mode="reg_and_comb";
defparam r_data_22__Z.lut_mask="eef0";
defparam r_data_22__Z.synch_mode="off";
defparam r_data_22__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_21__Z (
        .combout(wb_o_21),
        .regout(r_data_21),
        .clk(clk_c),
        .dataa(dout_21),
        .datab(r32_o_21),
        .datac(r32_o_0_21),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_21__Z.operation_mode="normal";
defparam r_data_21__Z.output_mode="reg_and_comb";
defparam r_data_21__Z.lut_mask="eef0";
defparam r_data_21__Z.synch_mode="off";
defparam r_data_21__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_20__Z (
        .combout(wb_o_20),
        .regout(r_data_20),
        .clk(clk_c),
        .dataa(dout_20),
        .datab(r32_o_20),
        .datac(r32_o_0_20),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_20__Z.operation_mode="normal";
defparam r_data_20__Z.output_mode="reg_and_comb";
defparam r_data_20__Z.lut_mask="eef0";
defparam r_data_20__Z.synch_mode="off";
defparam r_data_20__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_19__Z (
        .combout(wb_o_19),
        .regout(r_data_19),
        .clk(clk_c),
        .dataa(dout_19),
        .datab(r32_o_19),
        .datac(r32_o_0_19),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_19__Z.operation_mode="normal";
defparam r_data_19__Z.output_mode="reg_and_comb";
defparam r_data_19__Z.lut_mask="eef0";
defparam r_data_19__Z.synch_mode="off";
defparam r_data_19__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_18__Z (
        .combout(wb_o_18),
        .regout(r_data_18),
        .clk(clk_c),
        .dataa(dout_18),
        .datab(r32_o_18),
        .datac(r32_o_0_18),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_18__Z.operation_mode="normal";
defparam r_data_18__Z.output_mode="reg_and_comb";
defparam r_data_18__Z.lut_mask="eef0";
defparam r_data_18__Z.synch_mode="off";
defparam r_data_18__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_17__Z (
        .combout(wb_o_17),
        .regout(r_data_17),
        .clk(clk_c),
        .dataa(dout_17),
        .datab(r32_o_17),
        .datac(r32_o_0_17),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_17__Z.operation_mode="normal";
defparam r_data_17__Z.output_mode="reg_and_comb";
defparam r_data_17__Z.lut_mask="eef0";
defparam r_data_17__Z.synch_mode="off";
defparam r_data_17__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_16__Z (
        .combout(wb_o_16),
        .regout(r_data_16),
        .clk(clk_c),
        .dataa(dout_16),
        .datab(r32_o_16),
        .datac(r32_o_0_16),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_16__Z.operation_mode="normal";
defparam r_data_16__Z.output_mode="reg_and_comb";
defparam r_data_16__Z.lut_mask="eef0";
defparam r_data_16__Z.synch_mode="off";
defparam r_data_16__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_15__Z (
        .combout(wb_o_15),
        .regout(r_data_15),
        .clk(clk_c),
        .dataa(dout_15),
        .datab(r32_o_15),
        .datac(r32_o_0_15),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_15__Z.operation_mode="normal";
defparam r_data_15__Z.output_mode="reg_and_comb";
defparam r_data_15__Z.lut_mask="eef0";
defparam r_data_15__Z.synch_mode="off";
defparam r_data_15__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_14__Z (
        .combout(wb_o_14),
        .regout(r_data_14),
        .clk(clk_c),
        .dataa(dout_14),
        .datab(r32_o_14),
        .datac(r32_o_0_14),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_14__Z.operation_mode="normal";
defparam r_data_14__Z.output_mode="reg_and_comb";
defparam r_data_14__Z.lut_mask="eef0";
defparam r_data_14__Z.synch_mode="off";
defparam r_data_14__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_13__Z (
        .combout(wb_o_13),
        .regout(r_data_13),
        .clk(clk_c),
        .dataa(dout_13),
        .datab(r32_o_13),
        .datac(r32_o_0_13),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_13__Z.operation_mode="normal";
defparam r_data_13__Z.output_mode="reg_and_comb";
defparam r_data_13__Z.lut_mask="eef0";
defparam r_data_13__Z.synch_mode="off";
defparam r_data_13__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_12__Z (
        .combout(wb_o_12),
        .regout(r_data_12),
        .clk(clk_c),
        .dataa(dout_12),
        .datab(r32_o_12),
        .datac(r32_o_0_12),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_12__Z.operation_mode="normal";
defparam r_data_12__Z.output_mode="reg_and_comb";
defparam r_data_12__Z.lut_mask="eef0";
defparam r_data_12__Z.synch_mode="off";
defparam r_data_12__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_11__Z (
        .combout(wb_o_11),
        .regout(r_data_11),
        .clk(clk_c),
        .dataa(dout_11),
        .datab(r32_o_11),
        .datac(r32_o_0_11),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_11__Z.operation_mode="normal";
defparam r_data_11__Z.output_mode="reg_and_comb";
defparam r_data_11__Z.lut_mask="eef0";
defparam r_data_11__Z.synch_mode="off";
defparam r_data_11__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_10__Z (
        .combout(wb_o_10),
        .regout(r_data_10),
        .clk(clk_c),
        .dataa(dout_10),
        .datab(r32_o_10),
        .datac(r32_o_0_10),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_10__Z.operation_mode="normal";
defparam r_data_10__Z.output_mode="reg_and_comb";
defparam r_data_10__Z.lut_mask="eef0";
defparam r_data_10__Z.synch_mode="off";
defparam r_data_10__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_9__Z (
        .combout(wb_o_9),
        .regout(r_data_9),
        .clk(clk_c),
        .dataa(dout_9),
        .datab(r32_o_9),
        .datac(r32_o_0_9),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_9__Z.operation_mode="normal";
defparam r_data_9__Z.output_mode="reg_and_comb";
defparam r_data_9__Z.lut_mask="eef0";
defparam r_data_9__Z.synch_mode="off";
defparam r_data_9__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_8__Z (
        .combout(wb_o_8),
        .regout(r_data_8),
        .clk(clk_c),
        .dataa(dout_8),
        .datab(r32_o_8),
        .datac(r32_o_0_8),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_8__Z.operation_mode="normal";
defparam r_data_8__Z.output_mode="reg_and_comb";
defparam r_data_8__Z.lut_mask="eef0";
defparam r_data_8__Z.synch_mode="off";
defparam r_data_8__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_7__Z (
        .combout(wb_o_7),
        .regout(r_data_7),
        .clk(clk_c),
        .dataa(dout_7),
        .datab(r32_o_7),
        .datac(r32_o_0_7),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_7__Z.operation_mode="normal";
defparam r_data_7__Z.output_mode="reg_and_comb";
defparam r_data_7__Z.lut_mask="eef0";
defparam r_data_7__Z.synch_mode="off";
defparam r_data_7__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_6__Z (
        .combout(wb_o_6),
        .regout(r_data_6),
        .clk(clk_c),
        .dataa(dout_6),
        .datab(r32_o_6),
        .datac(r32_o_0_6),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_6__Z.operation_mode="normal";
defparam r_data_6__Z.output_mode="reg_and_comb";
defparam r_data_6__Z.lut_mask="eef0";
defparam r_data_6__Z.synch_mode="off";
defparam r_data_6__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_5__Z (
        .combout(wb_o_5),
        .regout(r_data_5),
        .clk(clk_c),
        .dataa(dout_5),
        .datab(r32_o_5),
        .datac(r32_o_0_5),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_5__Z.operation_mode="normal";
defparam r_data_5__Z.output_mode="reg_and_comb";
defparam r_data_5__Z.lut_mask="eef0";
defparam r_data_5__Z.synch_mode="off";
defparam r_data_5__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_4__Z (
        .combout(wb_o_4),
        .regout(r_data_4),
        .clk(clk_c),
        .dataa(dout_4),
        .datab(r32_o_4),
        .datac(r32_o_0_4),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_4__Z.operation_mode="normal";
defparam r_data_4__Z.output_mode="reg_and_comb";
defparam r_data_4__Z.lut_mask="eef0";
defparam r_data_4__Z.synch_mode="off";
defparam r_data_4__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_3__Z (
        .combout(wb_o_3),
        .regout(r_data_3),
        .clk(clk_c),
        .dataa(dout_3),
        .datab(r32_o_3),
        .datac(r32_o_0_3),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_3__Z.operation_mode="normal";
defparam r_data_3__Z.output_mode="reg_and_comb";
defparam r_data_3__Z.lut_mask="eef0";
defparam r_data_3__Z.synch_mode="off";
defparam r_data_3__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_2__Z (
        .combout(wb_o_2),
        .regout(r_data_2),
        .clk(clk_c),
        .dataa(dout_2),
        .datab(r32_o_2),
        .datac(r32_o_0_2),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_2__Z.operation_mode="normal";
defparam r_data_2__Z.output_mode="reg_and_comb";
defparam r_data_2__Z.lut_mask="eef0";
defparam r_data_2__Z.synch_mode="off";
defparam r_data_2__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_1__Z (
        .combout(wb_o_1),
        .regout(r_data_1),
        .clk(clk_c),
        .dataa(dout_1),
        .datab(r32_o_1),
        .datac(r32_o_0_1),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_1__Z.operation_mode="normal";
defparam r_data_1__Z.output_mode="reg_and_comb";
defparam r_data_1__Z.lut_mask="eef0";
defparam r_data_1__Z.synch_mode="off";
defparam r_data_1__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_data_0__Z (
        .combout(wb_o_0),
        .regout(r_data_0),
        .clk(clk_c),
        .dataa(dout_0),
        .datab(r32_o_0),
        .datac(r32_o_0_0),
        .datad(wb_mux_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_data_0__Z.operation_mode="normal";
defparam r_data_0__Z.output_mode="reg_and_comb";
defparam r_data_0__Z.lut_mask="eef0";
defparam r_data_0__Z.synch_mode="off";
defparam r_data_0__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_4__Z (
        .regout(r_rdaddress_b[4]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_4__Z.operation_mode="normal";
defparam r_rdaddress_b_4__Z.output_mode="reg_only";
defparam r_rdaddress_b_4__Z.lut_mask="ff00";
defparam r_rdaddress_b_4__Z.synch_mode="off";
defparam r_rdaddress_b_4__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_3__Z (
        .regout(r_rdaddress_b[3]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_3__Z.operation_mode="normal";
defparam r_rdaddress_b_3__Z.output_mode="reg_only";
defparam r_rdaddress_b_3__Z.lut_mask="ff00";
defparam r_rdaddress_b_3__Z.synch_mode="off";
defparam r_rdaddress_b_3__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_2__Z (
        .regout(r_rdaddress_b[2]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_2__Z.operation_mode="normal";
defparam r_rdaddress_b_2__Z.output_mode="reg_only";
defparam r_rdaddress_b_2__Z.lut_mask="ff00";
defparam r_rdaddress_b_2__Z.synch_mode="off";
defparam r_rdaddress_b_2__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_1__Z (
        .regout(r_rdaddress_b[1]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_1__Z.operation_mode="normal";
defparam r_rdaddress_b_1__Z.output_mode="reg_only";
defparam r_rdaddress_b_1__Z.lut_mask="ff00";
defparam r_rdaddress_b_1__Z.synch_mode="off";
defparam r_rdaddress_b_1__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_0__Z (
        .regout(r_rdaddress_b[0]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_0__Z.operation_mode="normal";
defparam r_rdaddress_b_0__Z.output_mode="reg_only";
defparam r_rdaddress_b_0__Z.lut_mask="ff00";
defparam r_rdaddress_b_0__Z.synch_mode="off";
defparam r_rdaddress_b_0__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_4__Z (
        .regout(r_rdaddress_a[4]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_4__Z.operation_mode="normal";
defparam r_rdaddress_a_4__Z.output_mode="reg_only";
defparam r_rdaddress_a_4__Z.lut_mask="ff00";
defparam r_rdaddress_a_4__Z.synch_mode="off";
defparam r_rdaddress_a_4__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_3__Z (
        .regout(r_rdaddress_a[3]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_3__Z.operation_mode="normal";
defparam r_rdaddress_a_3__Z.output_mode="reg_only";
defparam r_rdaddress_a_3__Z.lut_mask="ff00";
defparam r_rdaddress_a_3__Z.synch_mode="off";
defparam r_rdaddress_a_3__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_2__Z (
        .regout(r_rdaddress_a[2]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_2__Z.operation_mode="normal";
defparam r_rdaddress_a_2__Z.output_mode="reg_only";
defparam r_rdaddress_a_2__Z.lut_mask="ff00";
defparam r_rdaddress_a_2__Z.synch_mode="off";
defparam r_rdaddress_a_2__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_1__Z (
        .regout(r_rdaddress_a[1]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_1__Z.operation_mode="normal";
defparam r_rdaddress_a_1__Z.output_mode="reg_only";
defparam r_rdaddress_a_1__Z.lut_mask="ff00";
defparam r_rdaddress_a_1__Z.synch_mode="off";
defparam r_rdaddress_a_1__Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_0__Z (
        .regout(r_rdaddress_a[0]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(zz_ins_i_c_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_0__Z.operation_mode="normal";
defparam r_rdaddress_a_0__Z.output_mode="reg_only";
defparam r_rdaddress_a_0__Z.lut_mask="ff00";
defparam r_rdaddress_a_0__Z.synch_mode="off";
defparam r_rdaddress_a_0__Z.sum_lutc_input="datac";
// @7:152
  cyclone_lcell r_wren_Z (
        .regout(r_wren),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(wb_we_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_wren_Z.operation_mode="normal";
defparam r_wren_Z.output_mode="reg_only";
defparam r_wren_Z.lut_mask="ff00";
defparam r_wren_Z.synch_mode="off";
defparam r_wren_Z.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_0_x_4_ (
        .combout(r_rdaddress_a_0_x[4]),
        .dataa(zz_ins_i_c_9),
        .datab(r_rdaddress_a[4]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_0_x_4_.operation_mode="normal";
defparam r_rdaddress_a_0_x_4_.output_mode="comb_only";
defparam r_rdaddress_a_0_x_4_.lut_mask="caca";
defparam r_rdaddress_a_0_x_4_.synch_mode="off";
defparam r_rdaddress_a_0_x_4_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_0_x_3_ (
        .combout(r_rdaddress_a_0_x[3]),
        .dataa(zz_ins_i_c_8),
        .datab(r_rdaddress_a[3]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_0_x_3_.operation_mode="normal";
defparam r_rdaddress_a_0_x_3_.output_mode="comb_only";
defparam r_rdaddress_a_0_x_3_.lut_mask="caca";
defparam r_rdaddress_a_0_x_3_.synch_mode="off";
defparam r_rdaddress_a_0_x_3_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_0_x_2_ (
        .combout(r_rdaddress_a_0_x[2]),
        .dataa(zz_ins_i_c_7),
        .datab(r_rdaddress_a[2]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_0_x_2_.operation_mode="normal";
defparam r_rdaddress_a_0_x_2_.output_mode="comb_only";
defparam r_rdaddress_a_0_x_2_.lut_mask="caca";
defparam r_rdaddress_a_0_x_2_.synch_mode="off";
defparam r_rdaddress_a_0_x_2_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_0_x_1_ (
        .combout(r_rdaddress_a_0_x[1]),
        .dataa(zz_ins_i_c_6),
        .datab(r_rdaddress_a[1]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_0_x_1_.operation_mode="normal";
defparam r_rdaddress_a_0_x_1_.output_mode="comb_only";
defparam r_rdaddress_a_0_x_1_.lut_mask="caca";
defparam r_rdaddress_a_0_x_1_.synch_mode="off";
defparam r_rdaddress_a_0_x_1_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_a_0_x_0_ (
        .combout(r_rdaddress_a_0_x[0]),
        .dataa(zz_ins_i_c_5),
        .datab(r_rdaddress_a[0]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_a_0_x_0_.operation_mode="normal";
defparam r_rdaddress_a_0_x_0_.output_mode="comb_only";
defparam r_rdaddress_a_0_x_0_.lut_mask="caca";
defparam r_rdaddress_a_0_x_0_.synch_mode="off";
defparam r_rdaddress_a_0_x_0_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_0_x_4_ (
        .combout(r_rdaddress_b_0_x[4]),
        .dataa(zz_ins_i_c_4),
        .datab(r_rdaddress_b[4]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_0_x_4_.operation_mode="normal";
defparam r_rdaddress_b_0_x_4_.output_mode="comb_only";
defparam r_rdaddress_b_0_x_4_.lut_mask="caca";
defparam r_rdaddress_b_0_x_4_.synch_mode="off";
defparam r_rdaddress_b_0_x_4_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_0_x_3_ (
        .combout(r_rdaddress_b_0_x[3]),
        .dataa(zz_ins_i_c_3),
        .datab(r_rdaddress_b[3]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_0_x_3_.operation_mode="normal";
defparam r_rdaddress_b_0_x_3_.output_mode="comb_only";
defparam r_rdaddress_b_0_x_3_.lut_mask="caca";
defparam r_rdaddress_b_0_x_3_.synch_mode="off";
defparam r_rdaddress_b_0_x_3_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_0_x_2_ (
        .combout(r_rdaddress_b_0_x[2]),
        .dataa(zz_ins_i_c_2),
        .datab(r_rdaddress_b[2]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_0_x_2_.operation_mode="normal";
defparam r_rdaddress_b_0_x_2_.output_mode="comb_only";
defparam r_rdaddress_b_0_x_2_.lut_mask="caca";
defparam r_rdaddress_b_0_x_2_.synch_mode="off";
defparam r_rdaddress_b_0_x_2_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_0_x_1_ (
        .combout(r_rdaddress_b_0_x[1]),
        .dataa(zz_ins_i_c_1),
        .datab(r_rdaddress_b[1]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_0_x_1_.operation_mode="normal";
defparam r_rdaddress_b_0_x_1_.output_mode="comb_only";
defparam r_rdaddress_b_0_x_1_.lut_mask="caca";
defparam r_rdaddress_b_0_x_1_.synch_mode="off";
defparam r_rdaddress_b_0_x_1_.sum_lutc_input="datac";
// @7:145
  cyclone_lcell r_rdaddress_b_0_x_0_ (
        .combout(r_rdaddress_b_0_x[0]),
        .dataa(zz_ins_i_c_0),
        .datab(r_rdaddress_b[0]),
        .datac(CurrState_Sreg0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_rdaddress_b_0_x_0_.operation_mode="normal";
defparam r_rdaddress_b_0_x_0_.output_mode="comb_only";
defparam r_rdaddress_b_0_x_0_.lut_mask="caca";
defparam r_rdaddress_b_0_x_0_.synch_mode="off";
defparam r_rdaddress_b_0_x_0_.sum_lutc_input="datac";
  cyclone_lcell N_34_i_0_s2_cZ (
        .combout(N_34_i_0_s2),
        .dataa(r_wren),
        .datab(un23_qb_i_0_0_0_0_a2),
        .datac(un14_qb_NE),
        .datad(un32_mux_fw),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_34_i_0_s2_cZ.operation_mode="normal";
defparam N_34_i_0_s2_cZ.output_mode="comb_only";
defparam N_34_i_0_s2_cZ.lut_mask="0200";
defparam N_34_i_0_s2_cZ.synch_mode="off";
defparam N_34_i_0_s2_cZ.sum_lutc_input="datac";
  cyclone_lcell N_36_i_0_s3_cZ (
        .combout(N_36_i_0_s3),
        .dataa(r_wren),
        .datab(un23_qb_i_0_0_0_0_a2),
        .datac(un14_qb_NE),
        .datad(un32_mux_fw),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_36_i_0_s3_cZ.operation_mode="normal";
defparam N_36_i_0_s3_cZ.output_mode="comb_only";
defparam N_36_i_0_s3_cZ.lut_mask="3100";
defparam N_36_i_0_s3_cZ.synch_mode="off";
defparam N_36_i_0_s3_cZ.sum_lutc_input="datac";
  cyclone_lcell N_32_i_0_s3_cZ (
        .combout(N_32_i_0_s3),
        .dataa(r_wren),
        .datab(un23_qa_i_0_0_0_0_a2),
        .datac(un14_qa_NE),
        .datad(un32_mux_fw_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_32_i_0_s3_cZ.operation_mode="normal";
defparam N_32_i_0_s3_cZ.output_mode="comb_only";
defparam N_32_i_0_s3_cZ.lut_mask="3100";
defparam N_32_i_0_s3_cZ.synch_mode="off";
defparam N_32_i_0_s3_cZ.sum_lutc_input="datac";
  cyclone_lcell N_30_i_0_s2_cZ (
        .combout(N_30_i_0_s2),
        .dataa(r_wren),
        .datab(un23_qa_i_0_0_0_0_a2),
        .datac(un14_qa_NE),
        .datad(un32_mux_fw_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_30_i_0_s2_cZ.operation_mode="normal";
defparam N_30_i_0_s2_cZ.output_mode="comb_only";
defparam N_30_i_0_s2_cZ.lut_mask="0200";
defparam N_30_i_0_s2_cZ.synch_mode="off";
defparam N_30_i_0_s2_cZ.sum_lutc_input="datac";
// @7:138
  cyclone_lcell un14_qa_NE_cZ (
        .combout(un14_qa_NE),
        .dataa(r_wraddress[4]),
        .datab(r_rdaddress_a[4]),
        .datac(un14_qa_NE_1),
        .datad(un14_qa_NE_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un14_qa_NE_cZ.operation_mode="normal";
defparam un14_qa_NE_cZ.output_mode="comb_only";
defparam un14_qa_NE_cZ.lut_mask="fff6";
defparam un14_qa_NE_cZ.synch_mode="off";
defparam un14_qa_NE_cZ.sum_lutc_input="datac";
// @7:138
  cyclone_lcell un14_qa_NE_a_cZ (
        .combout(un14_qa_NE_a),
        .dataa(r_rdaddress_a[2]),
        .datab(r_wraddress[2]),
        .datac(r_rdaddress_a[3]),
        .datad(r_wraddress[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un14_qa_NE_a_cZ.operation_mode="normal";
defparam un14_qa_NE_a_cZ.output_mode="comb_only";
defparam un14_qa_NE_a_cZ.lut_mask="6ff6";
defparam un14_qa_NE_a_cZ.synch_mode="off";
defparam un14_qa_NE_a_cZ.sum_lutc_input="datac";
// @7:142
  cyclone_lcell un14_qb_NE_cZ (
        .combout(un14_qb_NE),
        .dataa(r_wraddress[4]),
        .datab(r_rdaddress_b[4]),
        .datac(un14_qb_NE_1),
        .datad(un14_qb_NE_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un14_qb_NE_cZ.operation_mode="normal";
defparam un14_qb_NE_cZ.output_mode="comb_only";
defparam un14_qb_NE_cZ.lut_mask="fff6";
defparam un14_qb_NE_cZ.synch_mode="off";
defparam un14_qb_NE_cZ.sum_lutc_input="datac";
// @7:142
  cyclone_lcell un14_qb_NE_a_cZ (
        .combout(un14_qb_NE_a),
        .dataa(r_rdaddress_b[2]),
        .datab(r_wraddress[2]),
        .datac(r_rdaddress_b[3]),
        .datad(r_wraddress[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un14_qb_NE_a_cZ.operation_mode="normal";
defparam un14_qb_NE_a_cZ.output_mode="comb_only";
defparam un14_qb_NE_a_cZ.lut_mask="6ff6";
defparam un14_qb_NE_a_cZ.synch_mode="off";
defparam un14_qb_NE_a_cZ.sum_lutc_input="datac";
// @7:137
  cyclone_lcell un23_qa_i_0_0_0_0_a2_cZ (
        .combout(un23_qa_i_0_0_0_0_a2),
        .dataa(r_rdaddress_a[4]),
        .datab(r_rdaddress_a[1]),
        .datac(r_rdaddress_a[2]),
        .datad(un23_qa_i_0_0_0_0_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un23_qa_i_0_0_0_0_a2_cZ.operation_mode="normal";
defparam un23_qa_i_0_0_0_0_a2_cZ.output_mode="comb_only";
defparam un23_qa_i_0_0_0_0_a2_cZ.lut_mask="0100";
defparam un23_qa_i_0_0_0_0_a2_cZ.synch_mode="off";
defparam un23_qa_i_0_0_0_0_a2_cZ.sum_lutc_input="datac";
// @7:137
  cyclone_lcell un23_qa_i_0_0_0_0_a2_a_cZ (
        .combout(un23_qa_i_0_0_0_0_a2_a),
        .dataa(VCC),
        .datab(VCC),
        .datac(r_rdaddress_a[0]),
        .datad(r_rdaddress_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un23_qa_i_0_0_0_0_a2_a_cZ.operation_mode="normal";
defparam un23_qa_i_0_0_0_0_a2_a_cZ.output_mode="comb_only";
defparam un23_qa_i_0_0_0_0_a2_a_cZ.lut_mask="000f";
defparam un23_qa_i_0_0_0_0_a2_a_cZ.synch_mode="off";
defparam un23_qa_i_0_0_0_0_a2_a_cZ.sum_lutc_input="datac";
// @7:137
  cyclone_lcell un23_qb_i_0_0_0_0_a2_cZ (
        .combout(un23_qb_i_0_0_0_0_a2),
        .dataa(r_rdaddress_b[4]),
        .datab(r_rdaddress_b[1]),
        .datac(r_rdaddress_b[2]),
        .datad(un23_qb_i_0_0_0_0_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un23_qb_i_0_0_0_0_a2_cZ.operation_mode="normal";
defparam un23_qb_i_0_0_0_0_a2_cZ.output_mode="comb_only";
defparam un23_qb_i_0_0_0_0_a2_cZ.lut_mask="0100";
defparam un23_qb_i_0_0_0_0_a2_cZ.synch_mode="off";
defparam un23_qb_i_0_0_0_0_a2_cZ.sum_lutc_input="datac";
// @7:137
  cyclone_lcell un23_qb_i_0_0_0_0_a2_a_cZ (
        .combout(un23_qb_i_0_0_0_0_a2_a),
        .dataa(VCC),
        .datab(VCC),
        .datac(r_rdaddress_b[0]),
        .datad(r_rdaddress_b[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un23_qb_i_0_0_0_0_a2_a_cZ.operation_mode="normal";
defparam un23_qb_i_0_0_0_0_a2_a_cZ.output_mode="comb_only";
defparam un23_qb_i_0_0_0_0_a2_a_cZ.lut_mask="000f";
defparam un23_qb_i_0_0_0_0_a2_a_cZ.synch_mode="off";
defparam un23_qb_i_0_0_0_0_a2_a_cZ.sum_lutc_input="datac";
// @7:138
  cyclone_lcell un14_qa_NE_1_cZ (
        .combout(un14_qa_NE_1),
        .dataa(r_rdaddress_a[1]),
        .datab(r_wraddress[1]),
        .datac(r_rdaddress_a[0]),
        .datad(r_wraddress[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un14_qa_NE_1_cZ.operation_mode="normal";
defparam un14_qa_NE_1_cZ.output_mode="comb_only";
defparam un14_qa_NE_1_cZ.lut_mask="6ff6";
defparam un14_qa_NE_1_cZ.synch_mode="off";
defparam un14_qa_NE_1_cZ.sum_lutc_input="datac";
// @7:142
  cyclone_lcell un14_qb_NE_1_cZ (
        .combout(un14_qb_NE_1),
        .dataa(r_rdaddress_b[1]),
        .datab(r_wraddress[1]),
        .datac(r_rdaddress_b[0]),
        .datad(r_wraddress[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un14_qb_NE_1_cZ.operation_mode="normal";
defparam un14_qb_NE_1_cZ.output_mode="comb_only";
defparam un14_qb_NE_1_cZ.lut_mask="6ff6";
defparam un14_qb_NE_1_cZ.synch_mode="off";
defparam un14_qb_NE_1_cZ.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reg_bank_m_31_ (
        .combout(reg_bank_m_0),
        .dataa(VCC),
        .datab(VCC),
        .datac(reg_bank[31]),
        .datad(N_32_i_0_s3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reg_bank_m_31_.operation_mode="normal";
defparam reg_bank_m_31_.output_mode="comb_only";
defparam reg_bank_m_31_.lut_mask="f000";
defparam reg_bank_m_31_.synch_mode="off";
defparam reg_bank_m_31_.sum_lutc_input="datac";
// @7:158
  altsyncram reg_bank_1_I_1_Z (
        .wren_a(wb_we_o_0),
        .wren_b(GND),
        .data_a({wb_o_31, wb_o_30, wb_o_29, wb_o_28, wb_o_27, wb_o_26, wb_o_25, 
   wb_o_24, wb_o_23, wb_o_22, wb_o_21, wb_o_20, wb_o_19, wb_o_18, wb_o_17, 
   wb_o_16, wb_o_15, wb_o_14, wb_o_13, wb_o_12, wb_o_11, wb_o_10, wb_o_9, 
   wb_o_8, wb_o_7, wb_o_6, wb_o_5, wb_o_4, wb_o_3, wb_o_2, wb_o_1, wb_o_0}),
        .address_a({r5_o_4, r5_o_3, r5_o_2, r5_o_1, r5_o_0}),
        .address_b({r_rdaddress_b_0_x[4], r_rdaddress_b_0_x[3], r_rdaddress_b_0_x[2], 
   r_rdaddress_b_0_x[1], r_rdaddress_b_0_x[0]}),
        .clock0(clk_c),
        .clock1(clk_c),
        .clocken0(VCC),
        .clocken1(VCC),
        .q_b({reg_bank_1_31, reg_bank_1_30, reg_bank_1_29, reg_bank_1_28, reg_bank_1_27, 
   reg_bank_1_26, reg_bank_1_25, reg_bank_1_24, reg_bank_1_23, reg_bank_1_22, 
   reg_bank_1_21, reg_bank_1_20, reg_bank_1_19, reg_bank_1_18, reg_bank_1_17, 
   reg_bank_1_16, reg_bank_1_15, reg_bank_1_14, reg_bank_1_13, reg_bank_1_12, 
   reg_bank_1_11, reg_bank_1_10, reg_bank_1_9, reg_bank_1_8, reg_bank_1_7, 
   reg_bank_1_6, reg_bank_1_5, reg_bank_1_4, reg_bank_1_3, reg_bank_1_2, 
   reg_bank_1_1, reg_bank_1_0}),
   /* default port values */ 
   .aclr0(1'b0),
   .aclr1(1'b0),
   .addressstall_a(1'b0),
   .addressstall_b(1'b0),
   .byteena_a(1'b1),
   .byteena_b(1'b1),
   .data_b(1'b1),
   .rden_b(1'b1)
);
defparam reg_bank_1_I_1_Z.lpm_type =  "altsyncram";
defparam reg_bank_1_I_1_Z.operation_mode =  "DUAL_PORT";
defparam reg_bank_1_I_1_Z.width_a =  32;
defparam reg_bank_1_I_1_Z.widthad_a =  5;
defparam reg_bank_1_I_1_Z.numwords_a =  32;
defparam reg_bank_1_I_1_Z.outdata_reg_a =  "UNREGISTERED";
defparam reg_bank_1_I_1_Z.address_aclr_a =  "UNUSED";
defparam reg_bank_1_I_1_Z.outdata_aclr_a =  "UNUSED";
defparam reg_bank_1_I_1_Z.indata_aclr_a =  "UNUSED";
defparam reg_bank_1_I_1_Z.wrcontrol_aclr_a =  "UNUSED";
defparam reg_bank_1_I_1_Z.width_byteena_a =  1;
defparam reg_bank_1_I_1_Z.width_b =  32;
defparam reg_bank_1_I_1_Z.widthad_b =  5;
defparam reg_bank_1_I_1_Z.numwords_b =  32;
defparam reg_bank_1_I_1_Z.rdcontrol_reg_b =  "CLOCK1";
defparam reg_bank_1_I_1_Z.address_reg_b =  "CLOCK1";
defparam reg_bank_1_I_1_Z.outdata_reg_b =  "UNREGISTERED";
defparam reg_bank_1_I_1_Z.rdcontrol_aclr_b =  "UNUSED";
defparam reg_bank_1_I_1_Z.indata_reg_b =  "UNUSED";
defparam reg_bank_1_I_1_Z.wrcontrol_wraddress_reg_b =  "CLOCK1";
defparam reg_bank_1_I_1_Z.indata_aclr_b =  "UNUSED";
defparam reg_bank_1_I_1_Z.wrcontrol_aclr_b =  "UNUSED";
defparam reg_bank_1_I_1_Z.address_aclr_b =  "UNUSED";
defparam reg_bank_1_I_1_Z.width_byteena_b =  1;
defparam reg_bank_1_I_1_Z.byte_size =  9;
defparam reg_bank_1_I_1_Z.read_during_write_mode_mixed_ports =  "OLD_DATA";
defparam reg_bank_1_I_1_Z.ram_block_type =  "AUTO";
defparam reg_bank_1_I_1_Z.init_file =  "UNUSED";
// @7:158
  altsyncram reg_bank_I_1_Z (
        .wren_a(wb_we_o_0),
        .wren_b(GND),
        .data_a({wb_o_31, wb_o_30, wb_o_29, wb_o_28, wb_o_27, wb_o_26, wb_o_25, 
   wb_o_24, wb_o_23, wb_o_22, wb_o_21, wb_o_20, wb_o_19, wb_o_18, wb_o_17, 
   wb_o_16, wb_o_15, wb_o_14, wb_o_13, wb_o_12, wb_o_11, wb_o_10, wb_o_9, 
   wb_o_8, wb_o_7, wb_o_6, wb_o_5, wb_o_4, wb_o_3, wb_o_2, wb_o_1, wb_o_0}),
        .address_a({r5_o_4, r5_o_3, r5_o_2, r5_o_1, r5_o_0}),
        .address_b({r_rdaddress_a_0_x[4], r_rdaddress_a_0_x[3], r_rdaddress_a_0_x[2], 
   r_rdaddress_a_0_x[1], r_rdaddress_a_0_x[0]}),
        .clock0(clk_c),
        .clock1(clk_c),
        .clocken0(VCC),
        .clocken1(VCC),
        .q_b({reg_bank[31], reg_bank_30, reg_bank_29, reg_bank_28, reg_bank_27, 
   reg_bank_26, reg_bank_25, reg_bank_24, reg_bank_23, reg_bank_22, reg_bank_21, 
   reg_bank_20, reg_bank_19, reg_bank_18, reg_bank_17, reg_bank_16, reg_bank_15, 
   reg_bank_14, reg_bank_13, reg_bank_12, reg_bank_11, reg_bank_10, reg_bank_9, 
   reg_bank_8, reg_bank_7, reg_bank_6, reg_bank_5, reg_bank_4, reg_bank_3, 
   reg_bank_2, reg_bank_1_d0, reg_bank_0}),
   /* default port values */ 
   .aclr0(1'b0),
   .aclr1(1'b0),
   .addressstall_a(1'b0),
   .addressstall_b(1'b0),
   .byteena_a(1'b1),
   .byteena_b(1'b1),
   .data_b(1'b1),
   .rden_b(1'b1)
);
defparam reg_bank_I_1_Z.lpm_type =  "altsyncram";
defparam reg_bank_I_1_Z.operation_mode =  "DUAL_PORT";
defparam reg_bank_I_1_Z.width_a =  32;
defparam reg_bank_I_1_Z.widthad_a =  5;
defparam reg_bank_I_1_Z.numwords_a =  32;
defparam reg_bank_I_1_Z.outdata_reg_a =  "UNREGISTERED";
defparam reg_bank_I_1_Z.address_aclr_a =  "UNUSED";
defparam reg_bank_I_1_Z.outdata_aclr_a =  "UNUSED";
defparam reg_bank_I_1_Z.indata_aclr_a =  "UNUSED";
defparam reg_bank_I_1_Z.wrcontrol_aclr_a =  "UNUSED";
defparam reg_bank_I_1_Z.width_byteena_a =  1;
defparam reg_bank_I_1_Z.width_b =  32;
defparam reg_bank_I_1_Z.widthad_b =  5;
defparam reg_bank_I_1_Z.numwords_b =  32;
defparam reg_bank_I_1_Z.rdcontrol_reg_b =  "CLOCK1";
defparam reg_bank_I_1_Z.address_reg_b =  "CLOCK1";
defparam reg_bank_I_1_Z.outdata_reg_b =  "UNREGISTERED";
defparam reg_bank_I_1_Z.rdcontrol_aclr_b =  "UNUSED";
defparam reg_bank_I_1_Z.indata_reg_b =  "UNUSED";
defparam reg_bank_I_1_Z.wrcontrol_wraddress_reg_b =  "CLOCK1";
defparam reg_bank_I_1_Z.indata_aclr_b =  "UNUSED";
defparam reg_bank_I_1_Z.wrcontrol_aclr_b =  "UNUSED";
defparam reg_bank_I_1_Z.address_aclr_b =  "UNUSED";
defparam reg_bank_I_1_Z.width_byteena_b =  1;
defparam reg_bank_I_1_Z.byte_size =  9;
defparam reg_bank_I_1_Z.read_during_write_mode_mixed_ports =  "OLD_DATA";
defparam reg_bank_I_1_Z.ram_block_type =  "AUTO";
defparam reg_bank_I_1_Z.init_file =  "UNUSED";
endmodule /* reg_array */

// VQM4.1+ 
module fwd_mux (
  r32_o_27,
  r32_o_6,
  r32_o_17,
  r32_o_30,
  r32_o_16,
  r32_o_18,
  r32_o_5,
  r32_o_12,
  r32_o_8,
  r32_o_21,
  r32_o_0,
  r32_o_25,
  r32_o_13,
  r32_o_15,
  r32_o_1,
  r32_o_31,
  r32_o_3,
  r32_o_20,
  r32_o_7,
  r32_o_19,
  r32_o_23,
  r32_o_14,
  r32_o_26,
  r32_o_11,
  r32_o_2,
  r32_o_9,
  r32_o_22,
  r32_o_4,
  r32_o_24,
  r32_o_28,
  r32_o_10,
  r32_o_29,
  r_data_27,
  r_data_6,
  r_data_17,
  r_data_30,
  r_data_16,
  r_data_18,
  r_data_5,
  r_data_12,
  r_data_8,
  r_data_21,
  r_data_0,
  r_data_25,
  r_data_13,
  r_data_15,
  r_data_1,
  r_data_31,
  r_data_3,
  r_data_20,
  r_data_7,
  r_data_19,
  r_data_23,
  r_data_14,
  r_data_26,
  r_data_11,
  r_data_2,
  r_data_9,
  r_data_22,
  r_data_4,
  r_data_24,
  r_data_28,
  r_data_10,
  r_data_29,
  reg_bank_1_27,
  reg_bank_1_6,
  reg_bank_1_17,
  reg_bank_1_30,
  reg_bank_1_16,
  reg_bank_1_18,
  reg_bank_1_5,
  reg_bank_1_12,
  reg_bank_1_8,
  reg_bank_1_21,
  reg_bank_1_0,
  reg_bank_1_25,
  reg_bank_1_13,
  reg_bank_1_15,
  reg_bank_1_1,
  reg_bank_1_31,
  reg_bank_1_3,
  reg_bank_1_20,
  reg_bank_1_7,
  reg_bank_1_19,
  reg_bank_1_23,
  reg_bank_1_14,
  reg_bank_1_26,
  reg_bank_1_11,
  reg_bank_1_2,
  reg_bank_1_9,
  reg_bank_1_22,
  reg_bank_1_4,
  reg_bank_1_24,
  reg_bank_1_28,
  reg_bank_1_10,
  reg_bank_1_29,
  dout_iv_1_27,
  dout_iv_1_30,
  dout_iv_1_18,
  dout_iv_1_8,
  dout_iv_1_25,
  dout_iv_1_15,
  dout_iv_1_31,
  dout_iv_1_19,
  dout_iv_1_14,
  dout_iv_1_26,
  dout_iv_1_11,
  dout_iv_1_2,
  dout_iv_1_22,
  dout_iv_1_24,
  dout_iv_1_28,
  dout_iv_1_10,
  dout_iv_1_7,
  dout_iv_1_9,
  dout_iv_1_4,
  dout_iv_1_5,
  dout_iv_1_6,
  dout_iv_1_1,
  dout_iv_1_3,
  dout_iv_1_0,
  dout_iv_1_23,
  dout_iv_1_20,
  dout_iv_1_21,
  dout_iv_1_16,
  dout_iv_1_17,
  dout_iv_1_13,
  dout_iv_1_12,
  dout_iv_1_29,
  wb_o_7,
  wb_o_9,
  wb_o_4,
  wb_o_5,
  wb_o_6,
  wb_o_1,
  wb_o_3,
  wb_o_0,
  wb_o_23,
  wb_o_20,
  wb_o_21,
  wb_o_16,
  wb_o_17,
  wb_o_13,
  wb_o_12,
  wb_o_29,
  dout_iv_7,
  dout_iv_9,
  dout_iv_4,
  dout_iv_5,
  dout_iv_6,
  dout_iv_1_d0,
  dout_iv_3,
  dout_iv_0,
  dout_iv_23,
  dout_iv_20,
  dout_iv_21,
  dout_iv_16,
  dout_iv_17,
  dout_iv_13,
  dout_iv_12,
  dout_iv_29,
  wb_we_o_0,
  N_34_i_0_s2,
  N_36_i_0_s3,
  mux_fw_1,
  un30_mux_fw,
  un32_mux_fw_a,
  dout7_0_a2_0_a2_0_a2_0_a2
);
input r32_o_27 ;
input r32_o_6 ;
input r32_o_17 ;
input r32_o_30 ;
input r32_o_16 ;
input r32_o_18 ;
input r32_o_5 ;
input r32_o_12 ;
input r32_o_8 ;
input r32_o_21 ;
input r32_o_0 ;
input r32_o_25 ;
input r32_o_13 ;
input r32_o_15 ;
input r32_o_1 ;
input r32_o_31 ;
input r32_o_3 ;
input r32_o_20 ;
input r32_o_7 ;
input r32_o_19 ;
input r32_o_23 ;
input r32_o_14 ;
input r32_o_26 ;
input r32_o_11 ;
input r32_o_2 ;
input r32_o_9 ;
input r32_o_22 ;
input r32_o_4 ;
input r32_o_24 ;
input r32_o_28 ;
input r32_o_10 ;
input r32_o_29 ;
input r_data_27 ;
input r_data_6 ;
input r_data_17 ;
input r_data_30 ;
input r_data_16 ;
input r_data_18 ;
input r_data_5 ;
input r_data_12 ;
input r_data_8 ;
input r_data_21 ;
input r_data_0 ;
input r_data_25 ;
input r_data_13 ;
input r_data_15 ;
input r_data_1 ;
input r_data_31 ;
input r_data_3 ;
input r_data_20 ;
input r_data_7 ;
input r_data_19 ;
input r_data_23 ;
input r_data_14 ;
input r_data_26 ;
input r_data_11 ;
input r_data_2 ;
input r_data_9 ;
input r_data_22 ;
input r_data_4 ;
input r_data_24 ;
input r_data_28 ;
input r_data_10 ;
input r_data_29 ;
input reg_bank_1_27 ;
input reg_bank_1_6 ;
input reg_bank_1_17 ;
input reg_bank_1_30 ;
input reg_bank_1_16 ;
input reg_bank_1_18 ;
input reg_bank_1_5 ;
input reg_bank_1_12 ;
input reg_bank_1_8 ;
input reg_bank_1_21 ;
input reg_bank_1_0 ;
input reg_bank_1_25 ;
input reg_bank_1_13 ;
input reg_bank_1_15 ;
input reg_bank_1_1 ;
input reg_bank_1_31 ;
input reg_bank_1_3 ;
input reg_bank_1_20 ;
input reg_bank_1_7 ;
input reg_bank_1_19 ;
input reg_bank_1_23 ;
input reg_bank_1_14 ;
input reg_bank_1_26 ;
input reg_bank_1_11 ;
input reg_bank_1_2 ;
input reg_bank_1_9 ;
input reg_bank_1_22 ;
input reg_bank_1_4 ;
input reg_bank_1_24 ;
input reg_bank_1_28 ;
input reg_bank_1_10 ;
input reg_bank_1_29 ;
output dout_iv_1_27 ;
output dout_iv_1_30 ;
output dout_iv_1_18 ;
output dout_iv_1_8 ;
output dout_iv_1_25 ;
output dout_iv_1_15 ;
output dout_iv_1_31 ;
output dout_iv_1_19 ;
output dout_iv_1_14 ;
output dout_iv_1_26 ;
output dout_iv_1_11 ;
output dout_iv_1_2 ;
output dout_iv_1_22 ;
output dout_iv_1_24 ;
output dout_iv_1_28 ;
output dout_iv_1_10 ;
output dout_iv_1_7 ;
output dout_iv_1_9 ;
output dout_iv_1_4 ;
output dout_iv_1_5 ;
output dout_iv_1_6 ;
output dout_iv_1_1 ;
output dout_iv_1_3 ;
output dout_iv_1_0 ;
output dout_iv_1_23 ;
output dout_iv_1_20 ;
output dout_iv_1_21 ;
output dout_iv_1_16 ;
output dout_iv_1_17 ;
output dout_iv_1_13 ;
output dout_iv_1_12 ;
output dout_iv_1_29 ;
input wb_o_7 ;
input wb_o_9 ;
input wb_o_4 ;
input wb_o_5 ;
input wb_o_6 ;
input wb_o_1 ;
input wb_o_3 ;
input wb_o_0 ;
input wb_o_23 ;
input wb_o_20 ;
input wb_o_21 ;
input wb_o_16 ;
input wb_o_17 ;
input wb_o_13 ;
input wb_o_12 ;
input wb_o_29 ;
output dout_iv_7 ;
output dout_iv_9 ;
output dout_iv_4 ;
output dout_iv_5 ;
output dout_iv_6 ;
output dout_iv_1_d0 ;
output dout_iv_3 ;
output dout_iv_0 ;
output dout_iv_23 ;
output dout_iv_20 ;
output dout_iv_21 ;
output dout_iv_16 ;
output dout_iv_17 ;
output dout_iv_13 ;
output dout_iv_12 ;
output dout_iv_29 ;
input wb_we_o_0 ;
input N_34_i_0_s2 ;
input N_36_i_0_s3 ;
input mux_fw_1 ;
input un30_mux_fw ;
input un32_mux_fw_a ;
output dout7_0_a2_0_a2_0_a2_0_a2 ;
wire r32_o_27 ;
wire r32_o_6 ;
wire r32_o_17 ;
wire r32_o_30 ;
wire r32_o_16 ;
wire r32_o_18 ;
wire r32_o_5 ;
wire r32_o_12 ;
wire r32_o_8 ;
wire r32_o_21 ;
wire r32_o_0 ;
wire r32_o_25 ;
wire r32_o_13 ;
wire r32_o_15 ;
wire r32_o_1 ;
wire r32_o_31 ;
wire r32_o_3 ;
wire r32_o_20 ;
wire r32_o_7 ;
wire r32_o_19 ;
wire r32_o_23 ;
wire r32_o_14 ;
wire r32_o_26 ;
wire r32_o_11 ;
wire r32_o_2 ;
wire r32_o_9 ;
wire r32_o_22 ;
wire r32_o_4 ;
wire r32_o_24 ;
wire r32_o_28 ;
wire r32_o_10 ;
wire r32_o_29 ;
wire r_data_27 ;
wire r_data_6 ;
wire r_data_17 ;
wire r_data_30 ;
wire r_data_16 ;
wire r_data_18 ;
wire r_data_5 ;
wire r_data_12 ;
wire r_data_8 ;
wire r_data_21 ;
wire r_data_0 ;
wire r_data_25 ;
wire r_data_13 ;
wire r_data_15 ;
wire r_data_1 ;
wire r_data_31 ;
wire r_data_3 ;
wire r_data_20 ;
wire r_data_7 ;
wire r_data_19 ;
wire r_data_23 ;
wire r_data_14 ;
wire r_data_26 ;
wire r_data_11 ;
wire r_data_2 ;
wire r_data_9 ;
wire r_data_22 ;
wire r_data_4 ;
wire r_data_24 ;
wire r_data_28 ;
wire r_data_10 ;
wire r_data_29 ;
wire reg_bank_1_27 ;
wire reg_bank_1_6 ;
wire reg_bank_1_17 ;
wire reg_bank_1_30 ;
wire reg_bank_1_16 ;
wire reg_bank_1_18 ;
wire reg_bank_1_5 ;
wire reg_bank_1_12 ;
wire reg_bank_1_8 ;
wire reg_bank_1_21 ;
wire reg_bank_1_0 ;
wire reg_bank_1_25 ;
wire reg_bank_1_13 ;
wire reg_bank_1_15 ;
wire reg_bank_1_1 ;
wire reg_bank_1_31 ;
wire reg_bank_1_3 ;
wire reg_bank_1_20 ;
wire reg_bank_1_7 ;
wire reg_bank_1_19 ;
wire reg_bank_1_23 ;
wire reg_bank_1_14 ;
wire reg_bank_1_26 ;
wire reg_bank_1_11 ;
wire reg_bank_1_2 ;
wire reg_bank_1_9 ;
wire reg_bank_1_22 ;
wire reg_bank_1_4 ;
wire reg_bank_1_24 ;
wire reg_bank_1_28 ;
wire reg_bank_1_10 ;
wire reg_bank_1_29 ;
wire dout_iv_1_27 ;
wire dout_iv_1_30 ;
wire dout_iv_1_18 ;
wire dout_iv_1_8 ;
wire dout_iv_1_25 ;
wire dout_iv_1_15 ;
wire dout_iv_1_31 ;
wire dout_iv_1_19 ;
wire dout_iv_1_14 ;
wire dout_iv_1_26 ;
wire dout_iv_1_11 ;
wire dout_iv_1_2 ;
wire dout_iv_1_22 ;
wire dout_iv_1_24 ;
wire dout_iv_1_28 ;
wire dout_iv_1_10 ;
wire dout_iv_1_7 ;
wire dout_iv_1_9 ;
wire dout_iv_1_4 ;
wire dout_iv_1_5 ;
wire dout_iv_1_6 ;
wire dout_iv_1_1 ;
wire dout_iv_1_3 ;
wire dout_iv_1_0 ;
wire dout_iv_1_23 ;
wire dout_iv_1_20 ;
wire dout_iv_1_21 ;
wire dout_iv_1_16 ;
wire dout_iv_1_17 ;
wire dout_iv_1_13 ;
wire dout_iv_1_12 ;
wire dout_iv_1_29 ;
wire wb_o_7 ;
wire wb_o_9 ;
wire wb_o_4 ;
wire wb_o_5 ;
wire wb_o_6 ;
wire wb_o_1 ;
wire wb_o_3 ;
wire wb_o_0 ;
wire wb_o_23 ;
wire wb_o_20 ;
wire wb_o_21 ;
wire wb_o_16 ;
wire wb_o_17 ;
wire wb_o_13 ;
wire wb_o_12 ;
wire wb_o_29 ;
wire dout_iv_7 ;
wire dout_iv_9 ;
wire dout_iv_4 ;
wire dout_iv_5 ;
wire dout_iv_6 ;
wire dout_iv_1_d0 ;
wire dout_iv_3 ;
wire dout_iv_0 ;
wire dout_iv_23 ;
wire dout_iv_20 ;
wire dout_iv_21 ;
wire dout_iv_16 ;
wire dout_iv_17 ;
wire dout_iv_13 ;
wire dout_iv_12 ;
wire dout_iv_29 ;
wire wb_we_o_0 ;
wire N_34_i_0_s2 ;
wire N_36_i_0_s3 ;
wire mux_fw_1 ;
wire un30_mux_fw ;
wire un32_mux_fw_a ;
wire dout7_0_a2_0_a2_0_a2_0_a2 ;
wire [31:0] dout_iv_1_a;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:48
  cyclone_lcell dout7_0_a2_0_a2_0_a2_0_a2_cZ (
        .combout(dout7_0_a2_0_a2_0_a2_0_a2),
        .dataa(wb_we_o_0),
        .datab(un32_mux_fw_a),
        .datac(un30_mux_fw),
        .datad(mux_fw_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.operation_mode="normal";
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.output_mode="comb_only";
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.lut_mask="0008";
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.synch_mode="off";
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_29_ (
        .combout(dout_iv_29),
        .dataa(VCC),
        .datab(wb_o_29),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_29_.operation_mode="normal";
defparam dout_iv_29_.output_mode="comb_only";
defparam dout_iv_29_.lut_mask="ffc0";
defparam dout_iv_29_.synch_mode="off";
defparam dout_iv_29_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_12_ (
        .combout(dout_iv_12),
        .dataa(VCC),
        .datab(wb_o_12),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_12_.operation_mode="normal";
defparam dout_iv_12_.output_mode="comb_only";
defparam dout_iv_12_.lut_mask="ffc0";
defparam dout_iv_12_.synch_mode="off";
defparam dout_iv_12_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_13_ (
        .combout(dout_iv_13),
        .dataa(VCC),
        .datab(wb_o_13),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_13_.operation_mode="normal";
defparam dout_iv_13_.output_mode="comb_only";
defparam dout_iv_13_.lut_mask="ffc0";
defparam dout_iv_13_.synch_mode="off";
defparam dout_iv_13_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_17_ (
        .combout(dout_iv_17),
        .dataa(VCC),
        .datab(wb_o_17),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_17_.operation_mode="normal";
defparam dout_iv_17_.output_mode="comb_only";
defparam dout_iv_17_.lut_mask="ffc0";
defparam dout_iv_17_.synch_mode="off";
defparam dout_iv_17_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_16_ (
        .combout(dout_iv_16),
        .dataa(VCC),
        .datab(wb_o_16),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_16_.operation_mode="normal";
defparam dout_iv_16_.output_mode="comb_only";
defparam dout_iv_16_.lut_mask="ffc0";
defparam dout_iv_16_.synch_mode="off";
defparam dout_iv_16_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_21_ (
        .combout(dout_iv_21),
        .dataa(VCC),
        .datab(wb_o_21),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_21_.operation_mode="normal";
defparam dout_iv_21_.output_mode="comb_only";
defparam dout_iv_21_.lut_mask="ffc0";
defparam dout_iv_21_.synch_mode="off";
defparam dout_iv_21_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_20_ (
        .combout(dout_iv_20),
        .dataa(VCC),
        .datab(wb_o_20),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_20_.operation_mode="normal";
defparam dout_iv_20_.output_mode="comb_only";
defparam dout_iv_20_.lut_mask="ffc0";
defparam dout_iv_20_.synch_mode="off";
defparam dout_iv_20_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_23_ (
        .combout(dout_iv_23),
        .dataa(VCC),
        .datab(wb_o_23),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_23_.operation_mode="normal";
defparam dout_iv_23_.output_mode="comb_only";
defparam dout_iv_23_.lut_mask="ffc0";
defparam dout_iv_23_.synch_mode="off";
defparam dout_iv_23_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_0_ (
        .combout(dout_iv_0),
        .dataa(VCC),
        .datab(wb_o_0),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_0_.operation_mode="normal";
defparam dout_iv_0_.output_mode="comb_only";
defparam dout_iv_0_.lut_mask="ffc0";
defparam dout_iv_0_.synch_mode="off";
defparam dout_iv_0_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_3_ (
        .combout(dout_iv_3),
        .dataa(VCC),
        .datab(wb_o_3),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_3_.operation_mode="normal";
defparam dout_iv_3_.output_mode="comb_only";
defparam dout_iv_3_.lut_mask="ffc0";
defparam dout_iv_3_.synch_mode="off";
defparam dout_iv_3_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_ (
        .combout(dout_iv_1_d0),
        .dataa(VCC),
        .datab(wb_o_1),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_.operation_mode="normal";
defparam dout_iv_1_.output_mode="comb_only";
defparam dout_iv_1_.lut_mask="ffc0";
defparam dout_iv_1_.synch_mode="off";
defparam dout_iv_1_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_6_ (
        .combout(dout_iv_6),
        .dataa(VCC),
        .datab(wb_o_6),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_6_.operation_mode="normal";
defparam dout_iv_6_.output_mode="comb_only";
defparam dout_iv_6_.lut_mask="ffc0";
defparam dout_iv_6_.synch_mode="off";
defparam dout_iv_6_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_5_ (
        .combout(dout_iv_5),
        .dataa(VCC),
        .datab(wb_o_5),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_5_.operation_mode="normal";
defparam dout_iv_5_.output_mode="comb_only";
defparam dout_iv_5_.lut_mask="ffc0";
defparam dout_iv_5_.synch_mode="off";
defparam dout_iv_5_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_4_ (
        .combout(dout_iv_4),
        .dataa(VCC),
        .datab(wb_o_4),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_4_.operation_mode="normal";
defparam dout_iv_4_.output_mode="comb_only";
defparam dout_iv_4_.lut_mask="ffc0";
defparam dout_iv_4_.synch_mode="off";
defparam dout_iv_4_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_9_ (
        .combout(dout_iv_9),
        .dataa(VCC),
        .datab(wb_o_9),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_9_.operation_mode="normal";
defparam dout_iv_9_.output_mode="comb_only";
defparam dout_iv_9_.lut_mask="ffc0";
defparam dout_iv_9_.synch_mode="off";
defparam dout_iv_9_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_7_ (
        .combout(dout_iv_7),
        .dataa(VCC),
        .datab(wb_o_7),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_7_.operation_mode="normal";
defparam dout_iv_7_.output_mode="comb_only";
defparam dout_iv_7_.lut_mask="ffc0";
defparam dout_iv_7_.synch_mode="off";
defparam dout_iv_7_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_29_ (
        .combout(dout_iv_1_29),
        .dataa(VCC),
        .datab(reg_bank_1_29),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_29_.operation_mode="normal";
defparam dout_iv_1_29_.output_mode="comb_only";
defparam dout_iv_1_29_.lut_mask="c0ff";
defparam dout_iv_1_29_.synch_mode="off";
defparam dout_iv_1_29_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_29_ (
        .combout(dout_iv_1_a[29]),
        .dataa(r_data_29),
        .datab(r32_o_29),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_29_.operation_mode="normal";
defparam dout_iv_1_a_29_.output_mode="comb_only";
defparam dout_iv_1_a_29_.lut_mask="153f";
defparam dout_iv_1_a_29_.synch_mode="off";
defparam dout_iv_1_a_29_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_10_ (
        .combout(dout_iv_1_10),
        .dataa(VCC),
        .datab(reg_bank_1_10),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_10_.operation_mode="normal";
defparam dout_iv_1_10_.output_mode="comb_only";
defparam dout_iv_1_10_.lut_mask="c0ff";
defparam dout_iv_1_10_.synch_mode="off";
defparam dout_iv_1_10_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_10_ (
        .combout(dout_iv_1_a[10]),
        .dataa(r_data_10),
        .datab(r32_o_10),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_10_.operation_mode="normal";
defparam dout_iv_1_a_10_.output_mode="comb_only";
defparam dout_iv_1_a_10_.lut_mask="153f";
defparam dout_iv_1_a_10_.synch_mode="off";
defparam dout_iv_1_a_10_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_28_ (
        .combout(dout_iv_1_28),
        .dataa(VCC),
        .datab(reg_bank_1_28),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_28_.operation_mode="normal";
defparam dout_iv_1_28_.output_mode="comb_only";
defparam dout_iv_1_28_.lut_mask="c0ff";
defparam dout_iv_1_28_.synch_mode="off";
defparam dout_iv_1_28_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_28_ (
        .combout(dout_iv_1_a[28]),
        .dataa(r_data_28),
        .datab(r32_o_28),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_28_.operation_mode="normal";
defparam dout_iv_1_a_28_.output_mode="comb_only";
defparam dout_iv_1_a_28_.lut_mask="153f";
defparam dout_iv_1_a_28_.synch_mode="off";
defparam dout_iv_1_a_28_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_24_ (
        .combout(dout_iv_1_24),
        .dataa(VCC),
        .datab(reg_bank_1_24),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_24_.operation_mode="normal";
defparam dout_iv_1_24_.output_mode="comb_only";
defparam dout_iv_1_24_.lut_mask="c0ff";
defparam dout_iv_1_24_.synch_mode="off";
defparam dout_iv_1_24_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_24_ (
        .combout(dout_iv_1_a[24]),
        .dataa(r_data_24),
        .datab(r32_o_24),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_24_.operation_mode="normal";
defparam dout_iv_1_a_24_.output_mode="comb_only";
defparam dout_iv_1_a_24_.lut_mask="153f";
defparam dout_iv_1_a_24_.synch_mode="off";
defparam dout_iv_1_a_24_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_4_ (
        .combout(dout_iv_1_4),
        .dataa(VCC),
        .datab(reg_bank_1_4),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_4_.operation_mode="normal";
defparam dout_iv_1_4_.output_mode="comb_only";
defparam dout_iv_1_4_.lut_mask="c0ff";
defparam dout_iv_1_4_.synch_mode="off";
defparam dout_iv_1_4_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_4_ (
        .combout(dout_iv_1_a[4]),
        .dataa(r_data_4),
        .datab(r32_o_4),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_4_.operation_mode="normal";
defparam dout_iv_1_a_4_.output_mode="comb_only";
defparam dout_iv_1_a_4_.lut_mask="153f";
defparam dout_iv_1_a_4_.synch_mode="off";
defparam dout_iv_1_a_4_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_22_ (
        .combout(dout_iv_1_22),
        .dataa(VCC),
        .datab(reg_bank_1_22),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_22_.operation_mode="normal";
defparam dout_iv_1_22_.output_mode="comb_only";
defparam dout_iv_1_22_.lut_mask="c0ff";
defparam dout_iv_1_22_.synch_mode="off";
defparam dout_iv_1_22_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_22_ (
        .combout(dout_iv_1_a[22]),
        .dataa(r_data_22),
        .datab(r32_o_22),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_22_.operation_mode="normal";
defparam dout_iv_1_a_22_.output_mode="comb_only";
defparam dout_iv_1_a_22_.lut_mask="153f";
defparam dout_iv_1_a_22_.synch_mode="off";
defparam dout_iv_1_a_22_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_9_ (
        .combout(dout_iv_1_9),
        .dataa(VCC),
        .datab(reg_bank_1_9),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_9_.operation_mode="normal";
defparam dout_iv_1_9_.output_mode="comb_only";
defparam dout_iv_1_9_.lut_mask="c0ff";
defparam dout_iv_1_9_.synch_mode="off";
defparam dout_iv_1_9_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_9_ (
        .combout(dout_iv_1_a[9]),
        .dataa(r_data_9),
        .datab(r32_o_9),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_9_.operation_mode="normal";
defparam dout_iv_1_a_9_.output_mode="comb_only";
defparam dout_iv_1_a_9_.lut_mask="153f";
defparam dout_iv_1_a_9_.synch_mode="off";
defparam dout_iv_1_a_9_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_2_ (
        .combout(dout_iv_1_2),
        .dataa(VCC),
        .datab(reg_bank_1_2),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_2_.operation_mode="normal";
defparam dout_iv_1_2_.output_mode="comb_only";
defparam dout_iv_1_2_.lut_mask="c0ff";
defparam dout_iv_1_2_.synch_mode="off";
defparam dout_iv_1_2_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_2_ (
        .combout(dout_iv_1_a[2]),
        .dataa(r_data_2),
        .datab(r32_o_2),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_2_.operation_mode="normal";
defparam dout_iv_1_a_2_.output_mode="comb_only";
defparam dout_iv_1_a_2_.lut_mask="153f";
defparam dout_iv_1_a_2_.synch_mode="off";
defparam dout_iv_1_a_2_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_11_ (
        .combout(dout_iv_1_11),
        .dataa(VCC),
        .datab(reg_bank_1_11),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_11_.operation_mode="normal";
defparam dout_iv_1_11_.output_mode="comb_only";
defparam dout_iv_1_11_.lut_mask="c0ff";
defparam dout_iv_1_11_.synch_mode="off";
defparam dout_iv_1_11_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_11_ (
        .combout(dout_iv_1_a[11]),
        .dataa(r_data_11),
        .datab(r32_o_11),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_11_.operation_mode="normal";
defparam dout_iv_1_a_11_.output_mode="comb_only";
defparam dout_iv_1_a_11_.lut_mask="153f";
defparam dout_iv_1_a_11_.synch_mode="off";
defparam dout_iv_1_a_11_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_26_ (
        .combout(dout_iv_1_26),
        .dataa(VCC),
        .datab(reg_bank_1_26),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_26_.operation_mode="normal";
defparam dout_iv_1_26_.output_mode="comb_only";
defparam dout_iv_1_26_.lut_mask="c0ff";
defparam dout_iv_1_26_.synch_mode="off";
defparam dout_iv_1_26_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_26_ (
        .combout(dout_iv_1_a[26]),
        .dataa(r_data_26),
        .datab(r32_o_26),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_26_.operation_mode="normal";
defparam dout_iv_1_a_26_.output_mode="comb_only";
defparam dout_iv_1_a_26_.lut_mask="153f";
defparam dout_iv_1_a_26_.synch_mode="off";
defparam dout_iv_1_a_26_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_14_ (
        .combout(dout_iv_1_14),
        .dataa(VCC),
        .datab(reg_bank_1_14),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_14_.operation_mode="normal";
defparam dout_iv_1_14_.output_mode="comb_only";
defparam dout_iv_1_14_.lut_mask="c0ff";
defparam dout_iv_1_14_.synch_mode="off";
defparam dout_iv_1_14_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_14_ (
        .combout(dout_iv_1_a[14]),
        .dataa(r_data_14),
        .datab(r32_o_14),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_14_.operation_mode="normal";
defparam dout_iv_1_a_14_.output_mode="comb_only";
defparam dout_iv_1_a_14_.lut_mask="153f";
defparam dout_iv_1_a_14_.synch_mode="off";
defparam dout_iv_1_a_14_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_23_ (
        .combout(dout_iv_1_23),
        .dataa(VCC),
        .datab(reg_bank_1_23),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_23_.operation_mode="normal";
defparam dout_iv_1_23_.output_mode="comb_only";
defparam dout_iv_1_23_.lut_mask="c0ff";
defparam dout_iv_1_23_.synch_mode="off";
defparam dout_iv_1_23_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_23_ (
        .combout(dout_iv_1_a[23]),
        .dataa(r_data_23),
        .datab(r32_o_23),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_23_.operation_mode="normal";
defparam dout_iv_1_a_23_.output_mode="comb_only";
defparam dout_iv_1_a_23_.lut_mask="153f";
defparam dout_iv_1_a_23_.synch_mode="off";
defparam dout_iv_1_a_23_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_19_ (
        .combout(dout_iv_1_19),
        .dataa(VCC),
        .datab(reg_bank_1_19),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_19_.operation_mode="normal";
defparam dout_iv_1_19_.output_mode="comb_only";
defparam dout_iv_1_19_.lut_mask="c0ff";
defparam dout_iv_1_19_.synch_mode="off";
defparam dout_iv_1_19_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_19_ (
        .combout(dout_iv_1_a[19]),
        .dataa(r_data_19),
        .datab(r32_o_19),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_19_.operation_mode="normal";
defparam dout_iv_1_a_19_.output_mode="comb_only";
defparam dout_iv_1_a_19_.lut_mask="153f";
defparam dout_iv_1_a_19_.synch_mode="off";
defparam dout_iv_1_a_19_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_7_ (
        .combout(dout_iv_1_7),
        .dataa(VCC),
        .datab(reg_bank_1_7),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_7_.operation_mode="normal";
defparam dout_iv_1_7_.output_mode="comb_only";
defparam dout_iv_1_7_.lut_mask="c0ff";
defparam dout_iv_1_7_.synch_mode="off";
defparam dout_iv_1_7_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_7_ (
        .combout(dout_iv_1_a[7]),
        .dataa(r_data_7),
        .datab(r32_o_7),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_7_.operation_mode="normal";
defparam dout_iv_1_a_7_.output_mode="comb_only";
defparam dout_iv_1_a_7_.lut_mask="153f";
defparam dout_iv_1_a_7_.synch_mode="off";
defparam dout_iv_1_a_7_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_20_ (
        .combout(dout_iv_1_20),
        .dataa(VCC),
        .datab(reg_bank_1_20),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_20_.operation_mode="normal";
defparam dout_iv_1_20_.output_mode="comb_only";
defparam dout_iv_1_20_.lut_mask="c0ff";
defparam dout_iv_1_20_.synch_mode="off";
defparam dout_iv_1_20_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_20_ (
        .combout(dout_iv_1_a[20]),
        .dataa(r_data_20),
        .datab(r32_o_20),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_20_.operation_mode="normal";
defparam dout_iv_1_a_20_.output_mode="comb_only";
defparam dout_iv_1_a_20_.lut_mask="153f";
defparam dout_iv_1_a_20_.synch_mode="off";
defparam dout_iv_1_a_20_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_3_ (
        .combout(dout_iv_1_3),
        .dataa(VCC),
        .datab(reg_bank_1_3),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_3_.operation_mode="normal";
defparam dout_iv_1_3_.output_mode="comb_only";
defparam dout_iv_1_3_.lut_mask="c0ff";
defparam dout_iv_1_3_.synch_mode="off";
defparam dout_iv_1_3_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_3_ (
        .combout(dout_iv_1_a[3]),
        .dataa(r_data_3),
        .datab(r32_o_3),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_3_.operation_mode="normal";
defparam dout_iv_1_a_3_.output_mode="comb_only";
defparam dout_iv_1_a_3_.lut_mask="153f";
defparam dout_iv_1_a_3_.synch_mode="off";
defparam dout_iv_1_a_3_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_31_ (
        .combout(dout_iv_1_31),
        .dataa(VCC),
        .datab(reg_bank_1_31),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_31_.operation_mode="normal";
defparam dout_iv_1_31_.output_mode="comb_only";
defparam dout_iv_1_31_.lut_mask="c0ff";
defparam dout_iv_1_31_.synch_mode="off";
defparam dout_iv_1_31_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_31_ (
        .combout(dout_iv_1_a[31]),
        .dataa(r_data_31),
        .datab(r32_o_31),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_31_.operation_mode="normal";
defparam dout_iv_1_a_31_.output_mode="comb_only";
defparam dout_iv_1_a_31_.lut_mask="153f";
defparam dout_iv_1_a_31_.synch_mode="off";
defparam dout_iv_1_a_31_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_1_ (
        .combout(dout_iv_1_1),
        .dataa(VCC),
        .datab(reg_bank_1_1),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_1_.operation_mode="normal";
defparam dout_iv_1_1_.output_mode="comb_only";
defparam dout_iv_1_1_.lut_mask="c0ff";
defparam dout_iv_1_1_.synch_mode="off";
defparam dout_iv_1_1_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_1_ (
        .combout(dout_iv_1_a[1]),
        .dataa(r_data_1),
        .datab(r32_o_1),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_1_.operation_mode="normal";
defparam dout_iv_1_a_1_.output_mode="comb_only";
defparam dout_iv_1_a_1_.lut_mask="153f";
defparam dout_iv_1_a_1_.synch_mode="off";
defparam dout_iv_1_a_1_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_15_ (
        .combout(dout_iv_1_15),
        .dataa(VCC),
        .datab(reg_bank_1_15),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_15_.operation_mode="normal";
defparam dout_iv_1_15_.output_mode="comb_only";
defparam dout_iv_1_15_.lut_mask="c0ff";
defparam dout_iv_1_15_.synch_mode="off";
defparam dout_iv_1_15_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_15_ (
        .combout(dout_iv_1_a[15]),
        .dataa(r_data_15),
        .datab(r32_o_15),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_15_.operation_mode="normal";
defparam dout_iv_1_a_15_.output_mode="comb_only";
defparam dout_iv_1_a_15_.lut_mask="153f";
defparam dout_iv_1_a_15_.synch_mode="off";
defparam dout_iv_1_a_15_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_13_ (
        .combout(dout_iv_1_13),
        .dataa(VCC),
        .datab(reg_bank_1_13),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_13_.operation_mode="normal";
defparam dout_iv_1_13_.output_mode="comb_only";
defparam dout_iv_1_13_.lut_mask="c0ff";
defparam dout_iv_1_13_.synch_mode="off";
defparam dout_iv_1_13_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_13_ (
        .combout(dout_iv_1_a[13]),
        .dataa(r_data_13),
        .datab(r32_o_13),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_13_.operation_mode="normal";
defparam dout_iv_1_a_13_.output_mode="comb_only";
defparam dout_iv_1_a_13_.lut_mask="153f";
defparam dout_iv_1_a_13_.synch_mode="off";
defparam dout_iv_1_a_13_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_25_ (
        .combout(dout_iv_1_25),
        .dataa(VCC),
        .datab(reg_bank_1_25),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_25_.operation_mode="normal";
defparam dout_iv_1_25_.output_mode="comb_only";
defparam dout_iv_1_25_.lut_mask="c0ff";
defparam dout_iv_1_25_.synch_mode="off";
defparam dout_iv_1_25_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_25_ (
        .combout(dout_iv_1_a[25]),
        .dataa(r_data_25),
        .datab(r32_o_25),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_25_.operation_mode="normal";
defparam dout_iv_1_a_25_.output_mode="comb_only";
defparam dout_iv_1_a_25_.lut_mask="153f";
defparam dout_iv_1_a_25_.synch_mode="off";
defparam dout_iv_1_a_25_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_0_ (
        .combout(dout_iv_1_0),
        .dataa(VCC),
        .datab(reg_bank_1_0),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_0_.operation_mode="normal";
defparam dout_iv_1_0_.output_mode="comb_only";
defparam dout_iv_1_0_.lut_mask="c0ff";
defparam dout_iv_1_0_.synch_mode="off";
defparam dout_iv_1_0_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_0_ (
        .combout(dout_iv_1_a[0]),
        .dataa(r_data_0),
        .datab(r32_o_0),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_0_.operation_mode="normal";
defparam dout_iv_1_a_0_.output_mode="comb_only";
defparam dout_iv_1_a_0_.lut_mask="153f";
defparam dout_iv_1_a_0_.synch_mode="off";
defparam dout_iv_1_a_0_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_21_ (
        .combout(dout_iv_1_21),
        .dataa(VCC),
        .datab(reg_bank_1_21),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_21_.operation_mode="normal";
defparam dout_iv_1_21_.output_mode="comb_only";
defparam dout_iv_1_21_.lut_mask="c0ff";
defparam dout_iv_1_21_.synch_mode="off";
defparam dout_iv_1_21_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_21_ (
        .combout(dout_iv_1_a[21]),
        .dataa(r_data_21),
        .datab(r32_o_21),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_21_.operation_mode="normal";
defparam dout_iv_1_a_21_.output_mode="comb_only";
defparam dout_iv_1_a_21_.lut_mask="153f";
defparam dout_iv_1_a_21_.synch_mode="off";
defparam dout_iv_1_a_21_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_8_ (
        .combout(dout_iv_1_8),
        .dataa(VCC),
        .datab(reg_bank_1_8),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_8_.operation_mode="normal";
defparam dout_iv_1_8_.output_mode="comb_only";
defparam dout_iv_1_8_.lut_mask="c0ff";
defparam dout_iv_1_8_.synch_mode="off";
defparam dout_iv_1_8_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_8_ (
        .combout(dout_iv_1_a[8]),
        .dataa(r_data_8),
        .datab(r32_o_8),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_8_.operation_mode="normal";
defparam dout_iv_1_a_8_.output_mode="comb_only";
defparam dout_iv_1_a_8_.lut_mask="153f";
defparam dout_iv_1_a_8_.synch_mode="off";
defparam dout_iv_1_a_8_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_12_ (
        .combout(dout_iv_1_12),
        .dataa(VCC),
        .datab(reg_bank_1_12),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_12_.operation_mode="normal";
defparam dout_iv_1_12_.output_mode="comb_only";
defparam dout_iv_1_12_.lut_mask="c0ff";
defparam dout_iv_1_12_.synch_mode="off";
defparam dout_iv_1_12_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_12_ (
        .combout(dout_iv_1_a[12]),
        .dataa(r_data_12),
        .datab(r32_o_12),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_12_.operation_mode="normal";
defparam dout_iv_1_a_12_.output_mode="comb_only";
defparam dout_iv_1_a_12_.lut_mask="153f";
defparam dout_iv_1_a_12_.synch_mode="off";
defparam dout_iv_1_a_12_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_5_ (
        .combout(dout_iv_1_5),
        .dataa(VCC),
        .datab(reg_bank_1_5),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_5_.operation_mode="normal";
defparam dout_iv_1_5_.output_mode="comb_only";
defparam dout_iv_1_5_.lut_mask="c0ff";
defparam dout_iv_1_5_.synch_mode="off";
defparam dout_iv_1_5_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_5_ (
        .combout(dout_iv_1_a[5]),
        .dataa(r_data_5),
        .datab(r32_o_5),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_5_.operation_mode="normal";
defparam dout_iv_1_a_5_.output_mode="comb_only";
defparam dout_iv_1_a_5_.lut_mask="153f";
defparam dout_iv_1_a_5_.synch_mode="off";
defparam dout_iv_1_a_5_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_18_ (
        .combout(dout_iv_1_18),
        .dataa(VCC),
        .datab(reg_bank_1_18),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_18_.operation_mode="normal";
defparam dout_iv_1_18_.output_mode="comb_only";
defparam dout_iv_1_18_.lut_mask="c0ff";
defparam dout_iv_1_18_.synch_mode="off";
defparam dout_iv_1_18_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_18_ (
        .combout(dout_iv_1_a[18]),
        .dataa(r_data_18),
        .datab(r32_o_18),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_18_.operation_mode="normal";
defparam dout_iv_1_a_18_.output_mode="comb_only";
defparam dout_iv_1_a_18_.lut_mask="153f";
defparam dout_iv_1_a_18_.synch_mode="off";
defparam dout_iv_1_a_18_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_16_ (
        .combout(dout_iv_1_16),
        .dataa(VCC),
        .datab(reg_bank_1_16),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_16_.operation_mode="normal";
defparam dout_iv_1_16_.output_mode="comb_only";
defparam dout_iv_1_16_.lut_mask="c0ff";
defparam dout_iv_1_16_.synch_mode="off";
defparam dout_iv_1_16_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_16_ (
        .combout(dout_iv_1_a[16]),
        .dataa(r_data_16),
        .datab(r32_o_16),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_16_.operation_mode="normal";
defparam dout_iv_1_a_16_.output_mode="comb_only";
defparam dout_iv_1_a_16_.lut_mask="153f";
defparam dout_iv_1_a_16_.synch_mode="off";
defparam dout_iv_1_a_16_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_30_ (
        .combout(dout_iv_1_30),
        .dataa(VCC),
        .datab(reg_bank_1_30),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_30_.operation_mode="normal";
defparam dout_iv_1_30_.output_mode="comb_only";
defparam dout_iv_1_30_.lut_mask="c0ff";
defparam dout_iv_1_30_.synch_mode="off";
defparam dout_iv_1_30_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_30_ (
        .combout(dout_iv_1_a[30]),
        .dataa(r_data_30),
        .datab(r32_o_30),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_30_.operation_mode="normal";
defparam dout_iv_1_a_30_.output_mode="comb_only";
defparam dout_iv_1_a_30_.lut_mask="153f";
defparam dout_iv_1_a_30_.synch_mode="off";
defparam dout_iv_1_a_30_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_17_ (
        .combout(dout_iv_1_17),
        .dataa(VCC),
        .datab(reg_bank_1_17),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_17_.operation_mode="normal";
defparam dout_iv_1_17_.output_mode="comb_only";
defparam dout_iv_1_17_.lut_mask="c0ff";
defparam dout_iv_1_17_.synch_mode="off";
defparam dout_iv_1_17_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_17_ (
        .combout(dout_iv_1_a[17]),
        .dataa(r_data_17),
        .datab(r32_o_17),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_17_.operation_mode="normal";
defparam dout_iv_1_a_17_.output_mode="comb_only";
defparam dout_iv_1_a_17_.lut_mask="153f";
defparam dout_iv_1_a_17_.synch_mode="off";
defparam dout_iv_1_a_17_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_6_ (
        .combout(dout_iv_1_6),
        .dataa(VCC),
        .datab(reg_bank_1_6),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_6_.operation_mode="normal";
defparam dout_iv_1_6_.output_mode="comb_only";
defparam dout_iv_1_6_.lut_mask="c0ff";
defparam dout_iv_1_6_.synch_mode="off";
defparam dout_iv_1_6_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_6_ (
        .combout(dout_iv_1_a[6]),
        .dataa(r_data_6),
        .datab(r32_o_6),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_6_.operation_mode="normal";
defparam dout_iv_1_a_6_.output_mode="comb_only";
defparam dout_iv_1_a_6_.lut_mask="153f";
defparam dout_iv_1_a_6_.synch_mode="off";
defparam dout_iv_1_a_6_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_27_ (
        .combout(dout_iv_1_27),
        .dataa(VCC),
        .datab(reg_bank_1_27),
        .datac(N_36_i_0_s3),
        .datad(dout_iv_1_a[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_27_.operation_mode="normal";
defparam dout_iv_1_27_.output_mode="comb_only";
defparam dout_iv_1_27_.lut_mask="c0ff";
defparam dout_iv_1_27_.synch_mode="off";
defparam dout_iv_1_27_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_27_ (
        .combout(dout_iv_1_a[27]),
        .dataa(r_data_27),
        .datab(r32_o_27),
        .datac(mux_fw_1),
        .datad(N_34_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_27_.operation_mode="normal";
defparam dout_iv_1_a_27_.output_mode="comb_only";
defparam dout_iv_1_a_27_.lut_mask="153f";
defparam dout_iv_1_a_27_.synch_mode="off";
defparam dout_iv_1_a_27_.sum_lutc_input="datac";
endmodule /* fwd_mux */

// VQM4.1+ 
module fwd_mux_1 (
  reg_bank_16,
  reg_bank_27,
  reg_bank_15,
  reg_bank_1,
  reg_bank_17,
  reg_bank_3,
  reg_bank_0,
  reg_bank_12,
  reg_bank_9,
  reg_bank_13,
  reg_bank_22,
  reg_bank_5,
  reg_bank_30,
  reg_bank_4,
  reg_bank_18,
  reg_bank_23,
  reg_bank_8,
  reg_bank_25,
  reg_bank_28,
  reg_bank_21,
  reg_bank_2,
  reg_bank_20,
  reg_bank_19,
  reg_bank_14,
  reg_bank_26,
  reg_bank_24,
  reg_bank_7,
  reg_bank_6,
  reg_bank_11,
  reg_bank_10,
  reg_bank_29,
  r32_o_16,
  r32_o_27,
  r32_o_15,
  r32_o_1,
  r32_o_17,
  r32_o_3,
  r32_o_0,
  r32_o_12,
  r32_o_9,
  r32_o_13,
  r32_o_22,
  r32_o_5,
  r32_o_30,
  r32_o_4,
  r32_o_18,
  r32_o_23,
  r32_o_8,
  r32_o_25,
  r32_o_28,
  r32_o_21,
  r32_o_2,
  r32_o_20,
  r32_o_19,
  r32_o_14,
  r32_o_26,
  r32_o_24,
  r32_o_7,
  r32_o_6,
  r32_o_11,
  r32_o_10,
  r32_o_29,
  r32_o_31,
  r_data_16,
  r_data_27,
  r_data_15,
  r_data_1,
  r_data_17,
  r_data_3,
  r_data_0,
  r_data_12,
  r_data_9,
  r_data_13,
  r_data_22,
  r_data_5,
  r_data_30,
  r_data_4,
  r_data_18,
  r_data_23,
  r_data_8,
  r_data_25,
  r_data_28,
  r_data_21,
  r_data_2,
  r_data_20,
  r_data_19,
  r_data_14,
  r_data_26,
  r_data_24,
  r_data_7,
  r_data_6,
  r_data_11,
  r_data_10,
  r_data_29,
  r_data_31,
  reg_bank_m_0,
  dout_iv_a_0,
  dout_iv_1_16,
  dout_iv_1_27,
  dout_iv_1_0,
  dout_iv_1_13,
  dout_iv_1_22,
  dout_iv_1_30,
  dout_iv_1_4,
  dout_iv_1_18,
  dout_iv_1_19,
  dout_iv_1_14,
  dout_iv_1_26,
  dout_iv_1_24,
  dout_iv_1_11,
  dout_iv_1_10,
  dout_iv_1_20,
  dout_iv_1_9,
  dout_iv_1_6,
  dout_iv_1_7,
  dout_iv_1_8,
  dout_iv_1_3,
  dout_iv_1_5,
  dout_iv_1_1,
  dout_iv_1_2,
  dout_iv_1_25,
  dout_iv_1_21,
  dout_iv_1_23,
  dout_iv_1_15,
  dout_iv_1_17,
  dout_iv_1_12,
  dout_iv_1_28,
  dout_iv_1_29,
  wb_o_19,
  wb_o_8,
  wb_o_5,
  wb_o_6,
  wb_o_7,
  wb_o_2,
  wb_o_4,
  wb_o_0,
  wb_o_1,
  wb_o_24,
  wb_o_20,
  wb_o_22,
  wb_o_14,
  wb_o_16,
  wb_o_11,
  wb_o_30,
  wb_o_27,
  wb_o_28,
  dout_iv_19,
  dout_iv_8,
  dout_iv_5,
  dout_iv_6,
  dout_iv_7,
  dout_iv_2,
  dout_iv_4,
  dout_iv_0,
  dout_iv_1_d0,
  dout_iv_24,
  dout_iv_20,
  dout_iv_22,
  dout_iv_14,
  dout_iv_16,
  dout_iv_11,
  dout_iv_30,
  dout_iv_27,
  dout_iv_28,
  N_32_i_0_s3,
  N_30_i_0_s2,
  mux_fw_1,
  un16_mux_fw_0,
  un30_mux_fw,
  dout7_0_a2_0_a2_0_a2_0_a2
);
input reg_bank_16 ;
input reg_bank_27 ;
input reg_bank_15 ;
input reg_bank_1 ;
input reg_bank_17 ;
input reg_bank_3 ;
input reg_bank_0 ;
input reg_bank_12 ;
input reg_bank_9 ;
input reg_bank_13 ;
input reg_bank_22 ;
input reg_bank_5 ;
input reg_bank_30 ;
input reg_bank_4 ;
input reg_bank_18 ;
input reg_bank_23 ;
input reg_bank_8 ;
input reg_bank_25 ;
input reg_bank_28 ;
input reg_bank_21 ;
input reg_bank_2 ;
input reg_bank_20 ;
input reg_bank_19 ;
input reg_bank_14 ;
input reg_bank_26 ;
input reg_bank_24 ;
input reg_bank_7 ;
input reg_bank_6 ;
input reg_bank_11 ;
input reg_bank_10 ;
input reg_bank_29 ;
input r32_o_16 ;
input r32_o_27 ;
input r32_o_15 ;
input r32_o_1 ;
input r32_o_17 ;
input r32_o_3 ;
input r32_o_0 ;
input r32_o_12 ;
input r32_o_9 ;
input r32_o_13 ;
input r32_o_22 ;
input r32_o_5 ;
input r32_o_30 ;
input r32_o_4 ;
input r32_o_18 ;
input r32_o_23 ;
input r32_o_8 ;
input r32_o_25 ;
input r32_o_28 ;
input r32_o_21 ;
input r32_o_2 ;
input r32_o_20 ;
input r32_o_19 ;
input r32_o_14 ;
input r32_o_26 ;
input r32_o_24 ;
input r32_o_7 ;
input r32_o_6 ;
input r32_o_11 ;
input r32_o_10 ;
input r32_o_29 ;
input r32_o_31 ;
input r_data_16 ;
input r_data_27 ;
input r_data_15 ;
input r_data_1 ;
input r_data_17 ;
input r_data_3 ;
input r_data_0 ;
input r_data_12 ;
input r_data_9 ;
input r_data_13 ;
input r_data_22 ;
input r_data_5 ;
input r_data_30 ;
input r_data_4 ;
input r_data_18 ;
input r_data_23 ;
input r_data_8 ;
input r_data_25 ;
input r_data_28 ;
input r_data_21 ;
input r_data_2 ;
input r_data_20 ;
input r_data_19 ;
input r_data_14 ;
input r_data_26 ;
input r_data_24 ;
input r_data_7 ;
input r_data_6 ;
input r_data_11 ;
input r_data_10 ;
input r_data_29 ;
input r_data_31 ;
input reg_bank_m_0 ;
output dout_iv_a_0 ;
output dout_iv_1_16 ;
output dout_iv_1_27 ;
output dout_iv_1_0 ;
output dout_iv_1_13 ;
output dout_iv_1_22 ;
output dout_iv_1_30 ;
output dout_iv_1_4 ;
output dout_iv_1_18 ;
output dout_iv_1_19 ;
output dout_iv_1_14 ;
output dout_iv_1_26 ;
output dout_iv_1_24 ;
output dout_iv_1_11 ;
output dout_iv_1_10 ;
output dout_iv_1_20 ;
output dout_iv_1_9 ;
output dout_iv_1_6 ;
output dout_iv_1_7 ;
output dout_iv_1_8 ;
output dout_iv_1_3 ;
output dout_iv_1_5 ;
output dout_iv_1_1 ;
output dout_iv_1_2 ;
output dout_iv_1_25 ;
output dout_iv_1_21 ;
output dout_iv_1_23 ;
output dout_iv_1_15 ;
output dout_iv_1_17 ;
output dout_iv_1_12 ;
output dout_iv_1_28 ;
output dout_iv_1_29 ;
input wb_o_19 ;
input wb_o_8 ;
input wb_o_5 ;
input wb_o_6 ;
input wb_o_7 ;
input wb_o_2 ;
input wb_o_4 ;
input wb_o_0 ;
input wb_o_1 ;
input wb_o_24 ;
input wb_o_20 ;
input wb_o_22 ;
input wb_o_14 ;
input wb_o_16 ;
input wb_o_11 ;
input wb_o_30 ;
input wb_o_27 ;
input wb_o_28 ;
output dout_iv_19 ;
output dout_iv_8 ;
output dout_iv_5 ;
output dout_iv_6 ;
output dout_iv_7 ;
output dout_iv_2 ;
output dout_iv_4 ;
output dout_iv_0 ;
output dout_iv_1_d0 ;
output dout_iv_24 ;
output dout_iv_20 ;
output dout_iv_22 ;
output dout_iv_14 ;
output dout_iv_16 ;
output dout_iv_11 ;
output dout_iv_30 ;
output dout_iv_27 ;
output dout_iv_28 ;
input N_32_i_0_s3 ;
input N_30_i_0_s2 ;
input mux_fw_1 ;
input un16_mux_fw_0 ;
input un30_mux_fw ;
output dout7_0_a2_0_a2_0_a2_0_a2 ;
wire reg_bank_16 ;
wire reg_bank_27 ;
wire reg_bank_15 ;
wire reg_bank_1 ;
wire reg_bank_17 ;
wire reg_bank_3 ;
wire reg_bank_0 ;
wire reg_bank_12 ;
wire reg_bank_9 ;
wire reg_bank_13 ;
wire reg_bank_22 ;
wire reg_bank_5 ;
wire reg_bank_30 ;
wire reg_bank_4 ;
wire reg_bank_18 ;
wire reg_bank_23 ;
wire reg_bank_8 ;
wire reg_bank_25 ;
wire reg_bank_28 ;
wire reg_bank_21 ;
wire reg_bank_2 ;
wire reg_bank_20 ;
wire reg_bank_19 ;
wire reg_bank_14 ;
wire reg_bank_26 ;
wire reg_bank_24 ;
wire reg_bank_7 ;
wire reg_bank_6 ;
wire reg_bank_11 ;
wire reg_bank_10 ;
wire reg_bank_29 ;
wire r32_o_16 ;
wire r32_o_27 ;
wire r32_o_15 ;
wire r32_o_1 ;
wire r32_o_17 ;
wire r32_o_3 ;
wire r32_o_0 ;
wire r32_o_12 ;
wire r32_o_9 ;
wire r32_o_13 ;
wire r32_o_22 ;
wire r32_o_5 ;
wire r32_o_30 ;
wire r32_o_4 ;
wire r32_o_18 ;
wire r32_o_23 ;
wire r32_o_8 ;
wire r32_o_25 ;
wire r32_o_28 ;
wire r32_o_21 ;
wire r32_o_2 ;
wire r32_o_20 ;
wire r32_o_19 ;
wire r32_o_14 ;
wire r32_o_26 ;
wire r32_o_24 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_11 ;
wire r32_o_10 ;
wire r32_o_29 ;
wire r32_o_31 ;
wire r_data_16 ;
wire r_data_27 ;
wire r_data_15 ;
wire r_data_1 ;
wire r_data_17 ;
wire r_data_3 ;
wire r_data_0 ;
wire r_data_12 ;
wire r_data_9 ;
wire r_data_13 ;
wire r_data_22 ;
wire r_data_5 ;
wire r_data_30 ;
wire r_data_4 ;
wire r_data_18 ;
wire r_data_23 ;
wire r_data_8 ;
wire r_data_25 ;
wire r_data_28 ;
wire r_data_21 ;
wire r_data_2 ;
wire r_data_20 ;
wire r_data_19 ;
wire r_data_14 ;
wire r_data_26 ;
wire r_data_24 ;
wire r_data_7 ;
wire r_data_6 ;
wire r_data_11 ;
wire r_data_10 ;
wire r_data_29 ;
wire r_data_31 ;
wire reg_bank_m_0 ;
wire dout_iv_a_0 ;
wire dout_iv_1_16 ;
wire dout_iv_1_27 ;
wire dout_iv_1_0 ;
wire dout_iv_1_13 ;
wire dout_iv_1_22 ;
wire dout_iv_1_30 ;
wire dout_iv_1_4 ;
wire dout_iv_1_18 ;
wire dout_iv_1_19 ;
wire dout_iv_1_14 ;
wire dout_iv_1_26 ;
wire dout_iv_1_24 ;
wire dout_iv_1_11 ;
wire dout_iv_1_10 ;
wire dout_iv_1_20 ;
wire dout_iv_1_9 ;
wire dout_iv_1_6 ;
wire dout_iv_1_7 ;
wire dout_iv_1_8 ;
wire dout_iv_1_3 ;
wire dout_iv_1_5 ;
wire dout_iv_1_1 ;
wire dout_iv_1_2 ;
wire dout_iv_1_25 ;
wire dout_iv_1_21 ;
wire dout_iv_1_23 ;
wire dout_iv_1_15 ;
wire dout_iv_1_17 ;
wire dout_iv_1_12 ;
wire dout_iv_1_28 ;
wire dout_iv_1_29 ;
wire wb_o_19 ;
wire wb_o_8 ;
wire wb_o_5 ;
wire wb_o_6 ;
wire wb_o_7 ;
wire wb_o_2 ;
wire wb_o_4 ;
wire wb_o_0 ;
wire wb_o_1 ;
wire wb_o_24 ;
wire wb_o_20 ;
wire wb_o_22 ;
wire wb_o_14 ;
wire wb_o_16 ;
wire wb_o_11 ;
wire wb_o_30 ;
wire wb_o_27 ;
wire wb_o_28 ;
wire dout_iv_19 ;
wire dout_iv_8 ;
wire dout_iv_5 ;
wire dout_iv_6 ;
wire dout_iv_7 ;
wire dout_iv_2 ;
wire dout_iv_4 ;
wire dout_iv_0 ;
wire dout_iv_1_d0 ;
wire dout_iv_24 ;
wire dout_iv_20 ;
wire dout_iv_22 ;
wire dout_iv_14 ;
wire dout_iv_16 ;
wire dout_iv_11 ;
wire dout_iv_30 ;
wire dout_iv_27 ;
wire dout_iv_28 ;
wire N_32_i_0_s3 ;
wire N_30_i_0_s2 ;
wire mux_fw_1 ;
wire un16_mux_fw_0 ;
wire un30_mux_fw ;
wire dout7_0_a2_0_a2_0_a2_0_a2 ;
wire [30:0] dout_iv_1_a;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:48
  cyclone_lcell dout7_0_a2_0_a2_0_a2_0_a2_cZ (
        .combout(dout7_0_a2_0_a2_0_a2_0_a2),
        .dataa(VCC),
        .datab(un30_mux_fw),
        .datac(un16_mux_fw_0),
        .datad(mux_fw_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.operation_mode="normal";
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.output_mode="comb_only";
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.lut_mask="0030";
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.synch_mode="off";
defparam dout7_0_a2_0_a2_0_a2_0_a2_cZ.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_29_ (
        .combout(dout_iv_28),
        .dataa(VCC),
        .datab(wb_o_28),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_29_.operation_mode="normal";
defparam dout_iv_29_.output_mode="comb_only";
defparam dout_iv_29_.lut_mask="ffc0";
defparam dout_iv_29_.synch_mode="off";
defparam dout_iv_29_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_28_ (
        .combout(dout_iv_27),
        .dataa(VCC),
        .datab(wb_o_27),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_28_.operation_mode="normal";
defparam dout_iv_28_.output_mode="comb_only";
defparam dout_iv_28_.lut_mask="ffc0";
defparam dout_iv_28_.synch_mode="off";
defparam dout_iv_28_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_31_ (
        .combout(dout_iv_30),
        .dataa(wb_o_30),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_a_0),
        .datad(reg_bank_m_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_31_.operation_mode="normal";
defparam dout_iv_31_.output_mode="comb_only";
defparam dout_iv_31_.lut_mask="ff8f";
defparam dout_iv_31_.synch_mode="off";
defparam dout_iv_31_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_a_31_ (
        .combout(dout_iv_a_0),
        .dataa(r_data_31),
        .datab(r32_o_31),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_a_31_.operation_mode="normal";
defparam dout_iv_a_31_.output_mode="comb_only";
defparam dout_iv_a_31_.lut_mask="153f";
defparam dout_iv_a_31_.synch_mode="off";
defparam dout_iv_a_31_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_12_ (
        .combout(dout_iv_11),
        .dataa(VCC),
        .datab(wb_o_11),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_12_.operation_mode="normal";
defparam dout_iv_12_.output_mode="comb_only";
defparam dout_iv_12_.lut_mask="ffc0";
defparam dout_iv_12_.synch_mode="off";
defparam dout_iv_12_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_17_ (
        .combout(dout_iv_16),
        .dataa(VCC),
        .datab(wb_o_16),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_17_.operation_mode="normal";
defparam dout_iv_17_.output_mode="comb_only";
defparam dout_iv_17_.lut_mask="ffc0";
defparam dout_iv_17_.synch_mode="off";
defparam dout_iv_17_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_15_ (
        .combout(dout_iv_14),
        .dataa(VCC),
        .datab(wb_o_14),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_15_.operation_mode="normal";
defparam dout_iv_15_.output_mode="comb_only";
defparam dout_iv_15_.lut_mask="ffc0";
defparam dout_iv_15_.synch_mode="off";
defparam dout_iv_15_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_23_ (
        .combout(dout_iv_22),
        .dataa(VCC),
        .datab(wb_o_22),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_23_.operation_mode="normal";
defparam dout_iv_23_.output_mode="comb_only";
defparam dout_iv_23_.lut_mask="ffc0";
defparam dout_iv_23_.synch_mode="off";
defparam dout_iv_23_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_21_ (
        .combout(dout_iv_20),
        .dataa(VCC),
        .datab(wb_o_20),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_21_.operation_mode="normal";
defparam dout_iv_21_.output_mode="comb_only";
defparam dout_iv_21_.lut_mask="ffc0";
defparam dout_iv_21_.synch_mode="off";
defparam dout_iv_21_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_25_ (
        .combout(dout_iv_24),
        .dataa(VCC),
        .datab(wb_o_24),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_25_.operation_mode="normal";
defparam dout_iv_25_.output_mode="comb_only";
defparam dout_iv_25_.lut_mask="ffc0";
defparam dout_iv_25_.synch_mode="off";
defparam dout_iv_25_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_2_ (
        .combout(dout_iv_1_d0),
        .dataa(VCC),
        .datab(wb_o_1),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_2_.operation_mode="normal";
defparam dout_iv_2_.output_mode="comb_only";
defparam dout_iv_2_.lut_mask="ffc0";
defparam dout_iv_2_.synch_mode="off";
defparam dout_iv_2_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_ (
        .combout(dout_iv_0),
        .dataa(VCC),
        .datab(wb_o_0),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_.operation_mode="normal";
defparam dout_iv_1_.output_mode="comb_only";
defparam dout_iv_1_.lut_mask="ffc0";
defparam dout_iv_1_.synch_mode="off";
defparam dout_iv_1_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_5_ (
        .combout(dout_iv_4),
        .dataa(VCC),
        .datab(wb_o_4),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_5_.operation_mode="normal";
defparam dout_iv_5_.output_mode="comb_only";
defparam dout_iv_5_.lut_mask="ffc0";
defparam dout_iv_5_.synch_mode="off";
defparam dout_iv_5_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_3_ (
        .combout(dout_iv_2),
        .dataa(VCC),
        .datab(wb_o_2),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_3_.operation_mode="normal";
defparam dout_iv_3_.output_mode="comb_only";
defparam dout_iv_3_.lut_mask="ffc0";
defparam dout_iv_3_.synch_mode="off";
defparam dout_iv_3_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_8_ (
        .combout(dout_iv_7),
        .dataa(VCC),
        .datab(wb_o_7),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_8_.operation_mode="normal";
defparam dout_iv_8_.output_mode="comb_only";
defparam dout_iv_8_.lut_mask="ffc0";
defparam dout_iv_8_.synch_mode="off";
defparam dout_iv_8_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_7_ (
        .combout(dout_iv_6),
        .dataa(VCC),
        .datab(wb_o_6),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_7_.operation_mode="normal";
defparam dout_iv_7_.output_mode="comb_only";
defparam dout_iv_7_.lut_mask="ffc0";
defparam dout_iv_7_.synch_mode="off";
defparam dout_iv_7_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_6_ (
        .combout(dout_iv_5),
        .dataa(VCC),
        .datab(wb_o_5),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_6_.operation_mode="normal";
defparam dout_iv_6_.output_mode="comb_only";
defparam dout_iv_6_.lut_mask="ffc0";
defparam dout_iv_6_.synch_mode="off";
defparam dout_iv_6_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_9_ (
        .combout(dout_iv_8),
        .dataa(VCC),
        .datab(wb_o_8),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_9_.operation_mode="normal";
defparam dout_iv_9_.output_mode="comb_only";
defparam dout_iv_9_.lut_mask="ffc0";
defparam dout_iv_9_.synch_mode="off";
defparam dout_iv_9_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_20_ (
        .combout(dout_iv_19),
        .dataa(VCC),
        .datab(wb_o_19),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_20_.operation_mode="normal";
defparam dout_iv_20_.output_mode="comb_only";
defparam dout_iv_20_.lut_mask="ffc0";
defparam dout_iv_20_.synch_mode="off";
defparam dout_iv_20_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_29_ (
        .combout(dout_iv_1_29),
        .dataa(VCC),
        .datab(reg_bank_29),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_29_.operation_mode="normal";
defparam dout_iv_1_29_.output_mode="comb_only";
defparam dout_iv_1_29_.lut_mask="c0ff";
defparam dout_iv_1_29_.synch_mode="off";
defparam dout_iv_1_29_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_29_ (
        .combout(dout_iv_1_a[29]),
        .dataa(r_data_29),
        .datab(r32_o_29),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_29_.operation_mode="normal";
defparam dout_iv_1_a_29_.output_mode="comb_only";
defparam dout_iv_1_a_29_.lut_mask="153f";
defparam dout_iv_1_a_29_.synch_mode="off";
defparam dout_iv_1_a_29_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_10_ (
        .combout(dout_iv_1_10),
        .dataa(VCC),
        .datab(reg_bank_10),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_10_.operation_mode="normal";
defparam dout_iv_1_10_.output_mode="comb_only";
defparam dout_iv_1_10_.lut_mask="c0ff";
defparam dout_iv_1_10_.synch_mode="off";
defparam dout_iv_1_10_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_10_ (
        .combout(dout_iv_1_a[10]),
        .dataa(r_data_10),
        .datab(r32_o_10),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_10_.operation_mode="normal";
defparam dout_iv_1_a_10_.output_mode="comb_only";
defparam dout_iv_1_a_10_.lut_mask="153f";
defparam dout_iv_1_a_10_.synch_mode="off";
defparam dout_iv_1_a_10_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_11_ (
        .combout(dout_iv_1_11),
        .dataa(VCC),
        .datab(reg_bank_11),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_11_.operation_mode="normal";
defparam dout_iv_1_11_.output_mode="comb_only";
defparam dout_iv_1_11_.lut_mask="c0ff";
defparam dout_iv_1_11_.synch_mode="off";
defparam dout_iv_1_11_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_11_ (
        .combout(dout_iv_1_a[11]),
        .dataa(r_data_11),
        .datab(r32_o_11),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_11_.operation_mode="normal";
defparam dout_iv_1_a_11_.output_mode="comb_only";
defparam dout_iv_1_a_11_.lut_mask="153f";
defparam dout_iv_1_a_11_.synch_mode="off";
defparam dout_iv_1_a_11_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_6_ (
        .combout(dout_iv_1_6),
        .dataa(VCC),
        .datab(reg_bank_6),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_6_.operation_mode="normal";
defparam dout_iv_1_6_.output_mode="comb_only";
defparam dout_iv_1_6_.lut_mask="c0ff";
defparam dout_iv_1_6_.synch_mode="off";
defparam dout_iv_1_6_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_6_ (
        .combout(dout_iv_1_a[6]),
        .dataa(r_data_6),
        .datab(r32_o_6),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_6_.operation_mode="normal";
defparam dout_iv_1_a_6_.output_mode="comb_only";
defparam dout_iv_1_a_6_.lut_mask="153f";
defparam dout_iv_1_a_6_.synch_mode="off";
defparam dout_iv_1_a_6_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_7_ (
        .combout(dout_iv_1_7),
        .dataa(VCC),
        .datab(reg_bank_7),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_7_.operation_mode="normal";
defparam dout_iv_1_7_.output_mode="comb_only";
defparam dout_iv_1_7_.lut_mask="c0ff";
defparam dout_iv_1_7_.synch_mode="off";
defparam dout_iv_1_7_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_7_ (
        .combout(dout_iv_1_a[7]),
        .dataa(r_data_7),
        .datab(r32_o_7),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_7_.operation_mode="normal";
defparam dout_iv_1_a_7_.output_mode="comb_only";
defparam dout_iv_1_a_7_.lut_mask="153f";
defparam dout_iv_1_a_7_.synch_mode="off";
defparam dout_iv_1_a_7_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_24_ (
        .combout(dout_iv_1_24),
        .dataa(VCC),
        .datab(reg_bank_24),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_24_.operation_mode="normal";
defparam dout_iv_1_24_.output_mode="comb_only";
defparam dout_iv_1_24_.lut_mask="c0ff";
defparam dout_iv_1_24_.synch_mode="off";
defparam dout_iv_1_24_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_24_ (
        .combout(dout_iv_1_a[24]),
        .dataa(r_data_24),
        .datab(r32_o_24),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_24_.operation_mode="normal";
defparam dout_iv_1_a_24_.output_mode="comb_only";
defparam dout_iv_1_a_24_.lut_mask="153f";
defparam dout_iv_1_a_24_.synch_mode="off";
defparam dout_iv_1_a_24_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_26_ (
        .combout(dout_iv_1_26),
        .dataa(VCC),
        .datab(reg_bank_26),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_26_.operation_mode="normal";
defparam dout_iv_1_26_.output_mode="comb_only";
defparam dout_iv_1_26_.lut_mask="c0ff";
defparam dout_iv_1_26_.synch_mode="off";
defparam dout_iv_1_26_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_26_ (
        .combout(dout_iv_1_a[26]),
        .dataa(r_data_26),
        .datab(r32_o_26),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_26_.operation_mode="normal";
defparam dout_iv_1_a_26_.output_mode="comb_only";
defparam dout_iv_1_a_26_.lut_mask="153f";
defparam dout_iv_1_a_26_.synch_mode="off";
defparam dout_iv_1_a_26_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_14_ (
        .combout(dout_iv_1_14),
        .dataa(VCC),
        .datab(reg_bank_14),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_14_.operation_mode="normal";
defparam dout_iv_1_14_.output_mode="comb_only";
defparam dout_iv_1_14_.lut_mask="c0ff";
defparam dout_iv_1_14_.synch_mode="off";
defparam dout_iv_1_14_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_14_ (
        .combout(dout_iv_1_a[14]),
        .dataa(r_data_14),
        .datab(r32_o_14),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_14_.operation_mode="normal";
defparam dout_iv_1_a_14_.output_mode="comb_only";
defparam dout_iv_1_a_14_.lut_mask="153f";
defparam dout_iv_1_a_14_.synch_mode="off";
defparam dout_iv_1_a_14_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_19_ (
        .combout(dout_iv_1_19),
        .dataa(VCC),
        .datab(reg_bank_19),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_19_.operation_mode="normal";
defparam dout_iv_1_19_.output_mode="comb_only";
defparam dout_iv_1_19_.lut_mask="c0ff";
defparam dout_iv_1_19_.synch_mode="off";
defparam dout_iv_1_19_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_19_ (
        .combout(dout_iv_1_a[19]),
        .dataa(r_data_19),
        .datab(r32_o_19),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_19_.operation_mode="normal";
defparam dout_iv_1_a_19_.output_mode="comb_only";
defparam dout_iv_1_a_19_.lut_mask="153f";
defparam dout_iv_1_a_19_.synch_mode="off";
defparam dout_iv_1_a_19_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_20_ (
        .combout(dout_iv_1_20),
        .dataa(VCC),
        .datab(reg_bank_20),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_20_.operation_mode="normal";
defparam dout_iv_1_20_.output_mode="comb_only";
defparam dout_iv_1_20_.lut_mask="c0ff";
defparam dout_iv_1_20_.synch_mode="off";
defparam dout_iv_1_20_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_20_ (
        .combout(dout_iv_1_a[20]),
        .dataa(r_data_20),
        .datab(r32_o_20),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_20_.operation_mode="normal";
defparam dout_iv_1_a_20_.output_mode="comb_only";
defparam dout_iv_1_a_20_.lut_mask="153f";
defparam dout_iv_1_a_20_.synch_mode="off";
defparam dout_iv_1_a_20_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_2_ (
        .combout(dout_iv_1_2),
        .dataa(VCC),
        .datab(reg_bank_2),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_2_.operation_mode="normal";
defparam dout_iv_1_2_.output_mode="comb_only";
defparam dout_iv_1_2_.lut_mask="c0ff";
defparam dout_iv_1_2_.synch_mode="off";
defparam dout_iv_1_2_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_2_ (
        .combout(dout_iv_1_a[2]),
        .dataa(r_data_2),
        .datab(r32_o_2),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_2_.operation_mode="normal";
defparam dout_iv_1_a_2_.output_mode="comb_only";
defparam dout_iv_1_a_2_.lut_mask="153f";
defparam dout_iv_1_a_2_.synch_mode="off";
defparam dout_iv_1_a_2_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_21_ (
        .combout(dout_iv_1_21),
        .dataa(VCC),
        .datab(reg_bank_21),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_21_.operation_mode="normal";
defparam dout_iv_1_21_.output_mode="comb_only";
defparam dout_iv_1_21_.lut_mask="c0ff";
defparam dout_iv_1_21_.synch_mode="off";
defparam dout_iv_1_21_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_21_ (
        .combout(dout_iv_1_a[21]),
        .dataa(r_data_21),
        .datab(r32_o_21),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_21_.operation_mode="normal";
defparam dout_iv_1_a_21_.output_mode="comb_only";
defparam dout_iv_1_a_21_.lut_mask="153f";
defparam dout_iv_1_a_21_.synch_mode="off";
defparam dout_iv_1_a_21_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_28_ (
        .combout(dout_iv_1_28),
        .dataa(VCC),
        .datab(reg_bank_28),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_28_.operation_mode="normal";
defparam dout_iv_1_28_.output_mode="comb_only";
defparam dout_iv_1_28_.lut_mask="c0ff";
defparam dout_iv_1_28_.synch_mode="off";
defparam dout_iv_1_28_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_28_ (
        .combout(dout_iv_1_a[28]),
        .dataa(r_data_28),
        .datab(r32_o_28),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_28_.operation_mode="normal";
defparam dout_iv_1_a_28_.output_mode="comb_only";
defparam dout_iv_1_a_28_.lut_mask="153f";
defparam dout_iv_1_a_28_.synch_mode="off";
defparam dout_iv_1_a_28_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_25_ (
        .combout(dout_iv_1_25),
        .dataa(VCC),
        .datab(reg_bank_25),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_25_.operation_mode="normal";
defparam dout_iv_1_25_.output_mode="comb_only";
defparam dout_iv_1_25_.lut_mask="c0ff";
defparam dout_iv_1_25_.synch_mode="off";
defparam dout_iv_1_25_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_25_ (
        .combout(dout_iv_1_a[25]),
        .dataa(r_data_25),
        .datab(r32_o_25),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_25_.operation_mode="normal";
defparam dout_iv_1_a_25_.output_mode="comb_only";
defparam dout_iv_1_a_25_.lut_mask="153f";
defparam dout_iv_1_a_25_.synch_mode="off";
defparam dout_iv_1_a_25_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_8_ (
        .combout(dout_iv_1_8),
        .dataa(VCC),
        .datab(reg_bank_8),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_8_.operation_mode="normal";
defparam dout_iv_1_8_.output_mode="comb_only";
defparam dout_iv_1_8_.lut_mask="c0ff";
defparam dout_iv_1_8_.synch_mode="off";
defparam dout_iv_1_8_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_8_ (
        .combout(dout_iv_1_a[8]),
        .dataa(r_data_8),
        .datab(r32_o_8),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_8_.operation_mode="normal";
defparam dout_iv_1_a_8_.output_mode="comb_only";
defparam dout_iv_1_a_8_.lut_mask="153f";
defparam dout_iv_1_a_8_.synch_mode="off";
defparam dout_iv_1_a_8_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_23_ (
        .combout(dout_iv_1_23),
        .dataa(VCC),
        .datab(reg_bank_23),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_23_.operation_mode="normal";
defparam dout_iv_1_23_.output_mode="comb_only";
defparam dout_iv_1_23_.lut_mask="c0ff";
defparam dout_iv_1_23_.synch_mode="off";
defparam dout_iv_1_23_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_23_ (
        .combout(dout_iv_1_a[23]),
        .dataa(r_data_23),
        .datab(r32_o_23),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_23_.operation_mode="normal";
defparam dout_iv_1_a_23_.output_mode="comb_only";
defparam dout_iv_1_a_23_.lut_mask="153f";
defparam dout_iv_1_a_23_.synch_mode="off";
defparam dout_iv_1_a_23_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_18_ (
        .combout(dout_iv_1_18),
        .dataa(VCC),
        .datab(reg_bank_18),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_18_.operation_mode="normal";
defparam dout_iv_1_18_.output_mode="comb_only";
defparam dout_iv_1_18_.lut_mask="c0ff";
defparam dout_iv_1_18_.synch_mode="off";
defparam dout_iv_1_18_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_18_ (
        .combout(dout_iv_1_a[18]),
        .dataa(r_data_18),
        .datab(r32_o_18),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_18_.operation_mode="normal";
defparam dout_iv_1_a_18_.output_mode="comb_only";
defparam dout_iv_1_a_18_.lut_mask="153f";
defparam dout_iv_1_a_18_.synch_mode="off";
defparam dout_iv_1_a_18_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_4_ (
        .combout(dout_iv_1_4),
        .dataa(VCC),
        .datab(reg_bank_4),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_4_.operation_mode="normal";
defparam dout_iv_1_4_.output_mode="comb_only";
defparam dout_iv_1_4_.lut_mask="c0ff";
defparam dout_iv_1_4_.synch_mode="off";
defparam dout_iv_1_4_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_4_ (
        .combout(dout_iv_1_a[4]),
        .dataa(r_data_4),
        .datab(r32_o_4),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_4_.operation_mode="normal";
defparam dout_iv_1_a_4_.output_mode="comb_only";
defparam dout_iv_1_a_4_.lut_mask="153f";
defparam dout_iv_1_a_4_.synch_mode="off";
defparam dout_iv_1_a_4_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_30_ (
        .combout(dout_iv_1_30),
        .dataa(VCC),
        .datab(reg_bank_30),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_30_.operation_mode="normal";
defparam dout_iv_1_30_.output_mode="comb_only";
defparam dout_iv_1_30_.lut_mask="c0ff";
defparam dout_iv_1_30_.synch_mode="off";
defparam dout_iv_1_30_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_30_ (
        .combout(dout_iv_1_a[30]),
        .dataa(r_data_30),
        .datab(r32_o_30),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_30_.operation_mode="normal";
defparam dout_iv_1_a_30_.output_mode="comb_only";
defparam dout_iv_1_a_30_.lut_mask="153f";
defparam dout_iv_1_a_30_.synch_mode="off";
defparam dout_iv_1_a_30_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_5_ (
        .combout(dout_iv_1_5),
        .dataa(VCC),
        .datab(reg_bank_5),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_5_.operation_mode="normal";
defparam dout_iv_1_5_.output_mode="comb_only";
defparam dout_iv_1_5_.lut_mask="c0ff";
defparam dout_iv_1_5_.synch_mode="off";
defparam dout_iv_1_5_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_5_ (
        .combout(dout_iv_1_a[5]),
        .dataa(r_data_5),
        .datab(r32_o_5),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_5_.operation_mode="normal";
defparam dout_iv_1_a_5_.output_mode="comb_only";
defparam dout_iv_1_a_5_.lut_mask="153f";
defparam dout_iv_1_a_5_.synch_mode="off";
defparam dout_iv_1_a_5_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_22_ (
        .combout(dout_iv_1_22),
        .dataa(VCC),
        .datab(reg_bank_22),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_22_.operation_mode="normal";
defparam dout_iv_1_22_.output_mode="comb_only";
defparam dout_iv_1_22_.lut_mask="c0ff";
defparam dout_iv_1_22_.synch_mode="off";
defparam dout_iv_1_22_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_22_ (
        .combout(dout_iv_1_a[22]),
        .dataa(r_data_22),
        .datab(r32_o_22),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_22_.operation_mode="normal";
defparam dout_iv_1_a_22_.output_mode="comb_only";
defparam dout_iv_1_a_22_.lut_mask="153f";
defparam dout_iv_1_a_22_.synch_mode="off";
defparam dout_iv_1_a_22_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_13_ (
        .combout(dout_iv_1_13),
        .dataa(VCC),
        .datab(reg_bank_13),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_13_.operation_mode="normal";
defparam dout_iv_1_13_.output_mode="comb_only";
defparam dout_iv_1_13_.lut_mask="c0ff";
defparam dout_iv_1_13_.synch_mode="off";
defparam dout_iv_1_13_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_13_ (
        .combout(dout_iv_1_a[13]),
        .dataa(r_data_13),
        .datab(r32_o_13),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_13_.operation_mode="normal";
defparam dout_iv_1_a_13_.output_mode="comb_only";
defparam dout_iv_1_a_13_.lut_mask="153f";
defparam dout_iv_1_a_13_.synch_mode="off";
defparam dout_iv_1_a_13_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_9_ (
        .combout(dout_iv_1_9),
        .dataa(VCC),
        .datab(reg_bank_9),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_9_.operation_mode="normal";
defparam dout_iv_1_9_.output_mode="comb_only";
defparam dout_iv_1_9_.lut_mask="c0ff";
defparam dout_iv_1_9_.synch_mode="off";
defparam dout_iv_1_9_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_9_ (
        .combout(dout_iv_1_a[9]),
        .dataa(r_data_9),
        .datab(r32_o_9),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_9_.operation_mode="normal";
defparam dout_iv_1_a_9_.output_mode="comb_only";
defparam dout_iv_1_a_9_.lut_mask="153f";
defparam dout_iv_1_a_9_.synch_mode="off";
defparam dout_iv_1_a_9_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_12_ (
        .combout(dout_iv_1_12),
        .dataa(VCC),
        .datab(reg_bank_12),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_12_.operation_mode="normal";
defparam dout_iv_1_12_.output_mode="comb_only";
defparam dout_iv_1_12_.lut_mask="c0ff";
defparam dout_iv_1_12_.synch_mode="off";
defparam dout_iv_1_12_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_12_ (
        .combout(dout_iv_1_a[12]),
        .dataa(r_data_12),
        .datab(r32_o_12),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_12_.operation_mode="normal";
defparam dout_iv_1_a_12_.output_mode="comb_only";
defparam dout_iv_1_a_12_.lut_mask="153f";
defparam dout_iv_1_a_12_.synch_mode="off";
defparam dout_iv_1_a_12_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_0_ (
        .combout(dout_iv_1_0),
        .dataa(VCC),
        .datab(reg_bank_0),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_0_.operation_mode="normal";
defparam dout_iv_1_0_.output_mode="comb_only";
defparam dout_iv_1_0_.lut_mask="c0ff";
defparam dout_iv_1_0_.synch_mode="off";
defparam dout_iv_1_0_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_0_ (
        .combout(dout_iv_1_a[0]),
        .dataa(r_data_0),
        .datab(r32_o_0),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_0_.operation_mode="normal";
defparam dout_iv_1_a_0_.output_mode="comb_only";
defparam dout_iv_1_a_0_.lut_mask="153f";
defparam dout_iv_1_a_0_.synch_mode="off";
defparam dout_iv_1_a_0_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_3_ (
        .combout(dout_iv_1_3),
        .dataa(VCC),
        .datab(reg_bank_3),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_3_.operation_mode="normal";
defparam dout_iv_1_3_.output_mode="comb_only";
defparam dout_iv_1_3_.lut_mask="c0ff";
defparam dout_iv_1_3_.synch_mode="off";
defparam dout_iv_1_3_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_3_ (
        .combout(dout_iv_1_a[3]),
        .dataa(r_data_3),
        .datab(r32_o_3),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_3_.operation_mode="normal";
defparam dout_iv_1_a_3_.output_mode="comb_only";
defparam dout_iv_1_a_3_.lut_mask="153f";
defparam dout_iv_1_a_3_.synch_mode="off";
defparam dout_iv_1_a_3_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_17_ (
        .combout(dout_iv_1_17),
        .dataa(VCC),
        .datab(reg_bank_17),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_17_.operation_mode="normal";
defparam dout_iv_1_17_.output_mode="comb_only";
defparam dout_iv_1_17_.lut_mask="c0ff";
defparam dout_iv_1_17_.synch_mode="off";
defparam dout_iv_1_17_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_17_ (
        .combout(dout_iv_1_a[17]),
        .dataa(r_data_17),
        .datab(r32_o_17),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_17_.operation_mode="normal";
defparam dout_iv_1_a_17_.output_mode="comb_only";
defparam dout_iv_1_a_17_.lut_mask="153f";
defparam dout_iv_1_a_17_.synch_mode="off";
defparam dout_iv_1_a_17_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_1_ (
        .combout(dout_iv_1_1),
        .dataa(VCC),
        .datab(reg_bank_1),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_1_.operation_mode="normal";
defparam dout_iv_1_1_.output_mode="comb_only";
defparam dout_iv_1_1_.lut_mask="c0ff";
defparam dout_iv_1_1_.synch_mode="off";
defparam dout_iv_1_1_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_1_ (
        .combout(dout_iv_1_a[1]),
        .dataa(r_data_1),
        .datab(r32_o_1),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_1_.operation_mode="normal";
defparam dout_iv_1_a_1_.output_mode="comb_only";
defparam dout_iv_1_a_1_.lut_mask="153f";
defparam dout_iv_1_a_1_.synch_mode="off";
defparam dout_iv_1_a_1_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_15_ (
        .combout(dout_iv_1_15),
        .dataa(VCC),
        .datab(reg_bank_15),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_15_.operation_mode="normal";
defparam dout_iv_1_15_.output_mode="comb_only";
defparam dout_iv_1_15_.lut_mask="c0ff";
defparam dout_iv_1_15_.synch_mode="off";
defparam dout_iv_1_15_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_15_ (
        .combout(dout_iv_1_a[15]),
        .dataa(r_data_15),
        .datab(r32_o_15),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_15_.operation_mode="normal";
defparam dout_iv_1_a_15_.output_mode="comb_only";
defparam dout_iv_1_a_15_.lut_mask="153f";
defparam dout_iv_1_a_15_.synch_mode="off";
defparam dout_iv_1_a_15_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_27_ (
        .combout(dout_iv_1_27),
        .dataa(VCC),
        .datab(reg_bank_27),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_27_.operation_mode="normal";
defparam dout_iv_1_27_.output_mode="comb_only";
defparam dout_iv_1_27_.lut_mask="c0ff";
defparam dout_iv_1_27_.synch_mode="off";
defparam dout_iv_1_27_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_27_ (
        .combout(dout_iv_1_a[27]),
        .dataa(r_data_27),
        .datab(r32_o_27),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_27_.operation_mode="normal";
defparam dout_iv_1_a_27_.output_mode="comb_only";
defparam dout_iv_1_a_27_.lut_mask="153f";
defparam dout_iv_1_a_27_.synch_mode="off";
defparam dout_iv_1_a_27_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_16_ (
        .combout(dout_iv_1_16),
        .dataa(VCC),
        .datab(reg_bank_16),
        .datac(N_32_i_0_s3),
        .datad(dout_iv_1_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_16_.operation_mode="normal";
defparam dout_iv_1_16_.output_mode="comb_only";
defparam dout_iv_1_16_.lut_mask="c0ff";
defparam dout_iv_1_16_.synch_mode="off";
defparam dout_iv_1_16_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_iv_1_a_16_ (
        .combout(dout_iv_1_a[16]),
        .dataa(r_data_16),
        .datab(r32_o_16),
        .datac(mux_fw_1),
        .datad(N_30_i_0_s2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_iv_1_a_16_.operation_mode="normal";
defparam dout_iv_1_a_16_.output_mode="comb_only";
defparam dout_iv_1_a_16_.lut_mask="153f";
defparam dout_iv_1_a_16_.synch_mode="off";
defparam dout_iv_1_a_16_.sum_lutc_input="datac";
endmodule /* fwd_mux_1 */

// VQM4.1+ 
module rf_stage (
  dout_iv_1_0_29,
  dout_iv_1_0_28,
  dout_iv_1_0_12,
  dout_iv_1_0_17,
  dout_iv_1_0_15,
  dout_iv_1_0_23,
  dout_iv_1_0_21,
  dout_iv_1_0_25,
  dout_iv_1_0_2,
  dout_iv_1_0_1,
  dout_iv_1_0_5,
  dout_iv_1_0_3,
  dout_iv_1_0_8,
  dout_iv_1_0_7,
  dout_iv_1_0_6,
  dout_iv_1_0_9,
  dout_iv_1_0_20,
  dout_iv_1_0_10,
  dout_iv_1_0_11,
  dout_iv_1_0_24,
  dout_iv_1_0_26,
  dout_iv_1_0_14,
  dout_iv_1_0_19,
  dout_iv_1_0_18,
  dout_iv_1_0_4,
  dout_iv_1_0_30,
  dout_iv_1_0_22,
  dout_iv_1_0_13,
  dout_iv_1_0_0,
  dout_iv_1_0_27,
  dout_iv_1_0_16,
  dout_iv_a_0,
  dout_iv_1_29,
  dout_iv_1_12,
  dout_iv_1_13,
  dout_iv_1_17,
  dout_iv_1_16,
  dout_iv_1_21,
  dout_iv_1_20,
  dout_iv_1_23,
  dout_iv_1_0,
  dout_iv_1_3,
  dout_iv_1_1,
  dout_iv_1_6,
  dout_iv_1_5,
  dout_iv_1_4,
  dout_iv_1_9,
  dout_iv_1_7,
  dout_iv_1_10,
  dout_iv_1_28,
  dout_iv_1_24,
  dout_iv_1_22,
  dout_iv_1_2,
  dout_iv_1_11,
  dout_iv_1_26,
  dout_iv_1_14,
  dout_iv_1_19,
  dout_iv_1_31,
  dout_iv_1_15,
  dout_iv_1_25,
  dout_iv_1_8,
  dout_iv_1_18,
  dout_iv_1_30,
  dout_iv_1_27,
  r32_o_4_10,
  r32_o_4_24,
  r32_o_4_4,
  r32_o_4_22,
  r32_o_4_9,
  r32_o_4_2,
  r32_o_4_11,
  r32_o_4_14,
  r32_o_4_23,
  r32_o_4_19,
  r32_o_4_7,
  r32_o_4_20,
  r32_o_4_3,
  r32_o_4_1,
  r32_o_4_15,
  r32_o_4_13,
  r32_o_4_25,
  r32_o_4_0,
  r32_o_4_21,
  r32_o_4_8,
  r32_o_4_12,
  r32_o_4_5,
  r32_o_4_18,
  r32_o_4_16,
  r32_o_4_17,
  r32_o_4_6,
  r5_o_4,
  r5_o_3,
  r5_o_2,
  r5_o_1,
  r5_o_0,
  wb_o_31,
  wb_o_30,
  wb_o_29,
  wb_o_28,
  wb_o_27,
  wb_o_26,
  wb_o_25,
  wb_o_24,
  wb_o_23,
  wb_o_22,
  wb_o_21,
  wb_o_20,
  wb_o_19,
  wb_o_18,
  wb_o_17,
  wb_o_16,
  wb_o_15,
  wb_o_14,
  wb_o_13,
  wb_o_12,
  wb_o_11,
  wb_o_10,
  wb_o_9,
  wb_o_8,
  wb_o_7,
  wb_o_6,
  wb_o_5,
  wb_o_4,
  wb_o_3,
  wb_o_2,
  wb_o_1,
  wb_o_0,
  dout_31,
  dout_30,
  dout_29,
  dout_28,
  dout_27,
  dout_26,
  dout_25,
  dout_24,
  dout_23,
  dout_22,
  dout_21,
  dout_20,
  dout_19,
  dout_18,
  dout_17,
  dout_16,
  dout_15,
  dout_14,
  dout_13,
  dout_12,
  dout_11,
  dout_10,
  dout_9,
  dout_8,
  dout_7,
  dout_6,
  dout_5,
  dout_4,
  dout_3,
  dout_2,
  dout_1,
  dout_0,
  r32_o_3_29,
  r32_o_3_28,
  r32_o_3_26,
  r32_o_3_31,
  r32_o_3_30,
  r32_o_3_27,
  r32_o_3_25,
  r32_o_3_24,
  r32_o_3_23,
  r32_o_3_22,
  r32_o_3_21,
  r32_o_3_20,
  r32_o_3_19,
  r32_o_3_18,
  r32_o_3_17,
  r32_o_3_16,
  r32_o_3_15,
  r32_o_3_14,
  r32_o_3_13,
  r32_o_3_12,
  r32_o_3_11,
  r32_o_3_10,
  r32_o_3_9,
  r32_o_3_8,
  r32_o_3_7,
  r32_o_3_6,
  r32_o_3_5,
  r32_o_3_4,
  r32_o_3_3,
  r32_o_3_2,
  r32_o_3_1,
  r32_o_3_0,
  r32_o_2_31,
  r32_o_2_30,
  r32_o_2_29,
  r32_o_2_28,
  r32_o_2_27,
  r32_o_2_26,
  r32_o_2_25,
  r32_o_2_24,
  r32_o_2_23,
  r32_o_2_22,
  r32_o_2_21,
  r32_o_2_20,
  r32_o_2_19,
  r32_o_2_18,
  r32_o_2_17,
  r32_o_2_16,
  r32_o_2_15,
  r32_o_2_14,
  r32_o_2_13,
  r32_o_2_12,
  r32_o_2_11,
  r32_o_2_10,
  r32_o_2_9,
  r32_o_2_8,
  r32_o_2_7,
  r32_o_2_6,
  r32_o_2_5,
  r32_o_2_4,
  r32_o_2_3,
  r32_o_2_2,
  r32_o_2_1,
  r32_o_2_0,
  wb_mux_ctl_o_0,
  wb_we_o_0,
  reg_bank_m_0,
  res_7_0_0_a_27,
  res_7_0_0_a_23,
  res_7_0_0_a_21,
  res_7_0_0_a_20,
  res_7_0_0_a_22,
  res_7_0_0_a_24,
  res_7_0_0_a_26,
  res_7_0_0_a_19,
  res_7_0_0_a_18,
  res_7_0_0_a_25,
  res_7_0_0_a_17,
  res_7_0_0_a_0,
  res_7_0_0_a_1,
  res_7_0_0_a_5,
  ext_ctl_o_2,
  ext_ctl_o_0,
  ext_ctl_o_1,
  res_7_0_0_a2_0_0_0,
  res_7_0_0_a3_0,
  dout_iv_0_18,
  dout_iv_0_2,
  dout_iv_0_19,
  dout_iv_0_22,
  dout_iv_0_24,
  dout_iv_0_8,
  dout_iv_0_25,
  dout_iv_0_26,
  dout_iv_0_10,
  dout_iv_0_27,
  dout_iv_0_11,
  dout_iv_0_28,
  dout_iv_0_30,
  dout_iv_0_14,
  dout_iv_0_31,
  dout_iv_0_15,
  cmp_ctl_o_0,
  cmp_ctl_o_2,
  cmp_ctl_o_1,
  pc_next_iv_a_25,
  pc_next_iv_a_26,
  pc_next_iv_a_22,
  pc_next_iv_a_23,
  pc_next_iv_a_27,
  pc_next_iv_a_24,
  pc_next_iv_a_21,
  pc_next_iv_a_18,
  pc_next_iv_a_19,
  pc_next_iv_a_2,
  pc_next_iv_a_3,
  pc_next_iv_a_4,
  pc_next_iv_a_20,
  pc_next_iv_a_10,
  pc_next_iv_a_11,
  pc_next_iv_a_7,
  pc_next_iv_a_9,
  pc_next_iv_a_17,
  pc_next_iv_a_15,
  pc_next_iv_a_12,
  pc_next_iv_a_13,
  pc_next_iv_a_5,
  pc_next_iv_a_6,
  pc_next_iv_a_0,
  pc_next_iv_a_1,
  pc_next_iv_a_8,
  pc_next_iv_a_14,
  pc_next_iv_a_29,
  pc_next_iv_a_28,
  pc_next_iv_a_30,
  pc_next_iv_a_31,
  r32_o_1_31,
  r32_o_1_30,
  r32_o_1_29,
  r32_o_1_28,
  r32_o_1_27,
  r32_o_1_26,
  r32_o_1_13,
  r32_o_1_12,
  r32_o_1_25,
  r32_o_1_11,
  r32_o_1_21,
  r32_o_1_7,
  r32_o_1_19,
  r32_o_1_5,
  r32_o_1_18,
  r32_o_1_4,
  r32_o_1_20,
  r32_o_1_6,
  r32_o_1_22,
  r32_o_1_8,
  r32_o_1_24,
  r32_o_1_10,
  r32_o_1_17,
  r32_o_1_3,
  r32_o_1_16,
  r32_o_1_23,
  r32_o_1_9,
  r32_o_1_15,
  r32_o_1_0,
  r32_o_1_2,
  r32_o_1_1,
  r32_o_1_14,
  dout_iv_26,
  dout_iv_22,
  dout_iv_27,
  dout_iv_24,
  dout_iv_18,
  dout_iv_19,
  dout_iv_4,
  dout_iv_16,
  dout_iv_10,
  dout_iv_11,
  dout_iv_13,
  dout_iv_0_d0,
  dout_iv_14,
  dout_iv_30,
  pc_next_iv_1,
  pc_next_iv_2,
  pc_next_iv_3,
  pc_next_iv_9,
  pc_next_iv_10,
  pc_next_iv_6,
  pc_next_iv_8,
  pc_next_iv_14,
  pc_next_iv_11,
  pc_next_iv_12,
  pc_next_iv_4,
  pc_next_iv_5,
  pc_next_iv_0,
  pc_next_iv_7,
  pc_next_iv_13,
  pc_next_iv_0_2,
  pc_next_iv_0_3,
  pc_next_iv_0_4,
  pc_next_iv_0_10,
  pc_next_iv_0_11,
  pc_next_iv_0_7,
  pc_next_iv_0_9,
  pc_next_iv_0_15,
  pc_next_iv_0_12,
  pc_next_iv_0_13,
  pc_next_iv_0_5,
  pc_next_iv_0_6,
  pc_next_iv_0_1,
  pc_next_iv_0_8,
  pc_next_iv_0_14,
  pc_next_iv_0_27,
  pc_next_iv_0_26,
  pc_next_iv_0_25,
  pc_next_iv_0_24,
  pc_next_iv_0_23,
  pc_next_iv_0_22,
  pc_next_iv_0_21,
  pc_next_iv_0_20,
  pc_next_iv_0_19,
  pc_next_iv_0_18,
  pc_next_iv_0_17,
  pc_next_iv_0_0_d0,
  pc_next_iv_0_31,
  pc_next_iv_0_30,
  pc_next_iv_0_29,
  pc_next_iv_0_28,
  pc_next_iv_0_a_0,
  irq_addr_o_27,
  irq_addr_o_26,
  irq_addr_o_25,
  irq_addr_o_24,
  irq_addr_o_23,
  irq_addr_o_22,
  irq_addr_o_21,
  irq_addr_o_20,
  irq_addr_o_19,
  irq_addr_o_18,
  irq_addr_o_16,
  irq_addr_o_4,
  irq_addr_o_3,
  irq_addr_o_2,
  irq_addr_o_17,
  irq_addr_o_15,
  irq_addr_o_14,
  irq_addr_o_13,
  irq_addr_o_12,
  irq_addr_o_11,
  irq_addr_o_10,
  irq_addr_o_9,
  irq_addr_o_8,
  irq_addr_o_7,
  irq_addr_o_6,
  irq_addr_o_5,
  irq_addr_o_1,
  irq_addr_o_0,
  irq_addr_o_31,
  irq_addr_o_30,
  irq_addr_o_29,
  irq_addr_o_28,
  res_7_0_0_27,
  res_7_0_0_26,
  res_7_0_0_25,
  res_7_0_0_24,
  res_7_0_0_23,
  res_7_0_0_22,
  res_7_0_0_21,
  res_7_0_0_20,
  res_7_0_0_19,
  res_7_0_0_18,
  res_7_0_0_16,
  res_7_0_0_4,
  res_7_0_0_3,
  res_7_0_0_2,
  res_7_0_0_17,
  res_7_0_0_15,
  res_7_0_0_14,
  res_7_0_0_13,
  res_7_0_0_12,
  res_7_0_0_11,
  res_7_0_0_10,
  res_7_0_0_9,
  res_7_0_0_8,
  res_7_0_0_7,
  res_7_0_0_6,
  res_7_0_0_5,
  res_7_0_0_1,
  res_7_0_0_0,
  res_7_0_0_29,
  res_7_0_0_30,
  res_7_0_0_28,
  res_7_0_0_31,
  pc_next_iv_0_0_0,
  pc_gen_ctl_o_2,
  pc_gen_ctl_o_0,
  pc_gen_ctl_o_1,
  r32_o_0_25,
  r32_o_0_26,
  r32_o_0_22,
  r32_o_0_23,
  r32_o_0_27,
  r32_o_0_24,
  r32_o_0_21,
  r32_o_0_18,
  r32_o_0_19,
  r32_o_0_3,
  r32_o_0_4,
  r32_o_0_16,
  r32_o_0_20,
  r32_o_0_10,
  r32_o_0_11,
  r32_o_0_7,
  r32_o_0_9,
  r32_o_0_17,
  r32_o_0_15,
  r32_o_0_12,
  r32_o_0_13,
  r32_o_0_5,
  r32_o_0_6,
  r32_o_0_0,
  r32_o_0_8,
  r32_o_0_29,
  r32_o_0_28,
  r32_o_0_30,
  r32_o_0_31,
  r32_o_0_14,
  r32_o_0_2,
  r32_o_0_1,
  res_7_0_0_0_0,
  res_7_0_0_0_14,
  res_7_0_0_0_2,
  res_7_0_0_0_1,
  res_7_0_0_o3_0,
  r32_o_31,
  r32_o_30,
  r32_o_29,
  r32_o_28,
  r32_o_27,
  r32_o_26,
  r32_o_25,
  r32_o_24,
  r32_o_23,
  r32_o_22,
  r32_o_21,
  r32_o_20,
  r32_o_19,
  r32_o_18,
  r32_o_17,
  r32_o_16,
  r32_o_15,
  r32_o_13,
  r32_o_12,
  r32_o_11,
  r32_o_10,
  r32_o_9,
  r32_o_8,
  r32_o_7,
  r32_o_6,
  r32_o_5,
  r32_o_4,
  r32_o_3,
  r32_o_0,
  r32_o_14,
  r32_o_2,
  r32_o_1,
  CurrState_Sreg0_2,
  zz_ins_i_c_1,
  zz_ins_i_c_2,
  zz_ins_i_c_5,
  zz_ins_i_c_6,
  zz_ins_i_c_9,
  zz_ins_i_c_10,
  zz_ins_i_c_13,
  zz_ins_i_c_14,
  zz_ins_i_c_17,
  zz_ins_i_c_18,
  zz_ins_i_c_21,
  zz_ins_i_c_22,
  zz_ins_i_c_25,
  zz_ins_i_c_0,
  zz_ins_i_c_3,
  zz_ins_i_c_4,
  zz_ins_i_c_7,
  zz_ins_i_c_8,
  zz_ins_i_c_11,
  zz_ins_i_c_12,
  zz_ins_i_c_15,
  zz_ins_i_c_16,
  zz_ins_i_c_19,
  zz_ins_i_c_20,
  zz_ins_i_c_24,
  zz_ins_i_c_23,
  pc_gen_ctl_0_0_a2_2_0,
  dout7_0_a2_0_a2_0_a2_0_a2_0,
  un16_mux_fw_0,
  mux_fw_1_0,
  dout7_0_a2_0_a2_0_a2_0_a2,
  un32_mux_fw_a,
  un30_mux_fw,
  mux_fw_1,
  un32_mux_fw_0,
  un32_mux_fw,
  un1_pc_next46_0,
  un1_pc_add2,
  un1_pc_add3,
  un1_pc_add4,
  un1_pc_add10,
  un1_pc_add11,
  un1_pc_add7,
  un1_pc_add9,
  un1_pc_add15,
  un1_pc_add12,
  un1_pc_add13,
  un1_pc_add5,
  un1_pc_add6,
  un1_pc_add1,
  un1_pc_add8,
  un1_pc_add14,
  un1_pc_add31,
  un1_pc_add30,
  un1_pc_add29,
  un1_pc_add28,
  un1_pc_add27,
  un1_pc_add26,
  un1_pc_add25,
  un1_pc_add24,
  un1_pc_add23,
  un1_pc_add22,
  un1_pc_add21,
  un1_pc_add20,
  un1_pc_add19,
  un1_pc_add18,
  un1_pc_add17,
  un1_pc_add16,
  un1_pc_add0,
  iack_1,
  NET1572_i_i,
  clk_c,
  fsm_dly_1_1_0_0,
  rst_c,
  irq_req_o,
  fsm_dly_2_1_0_0,
  fsm_dly_0_1_0_0,
  fsm_dly_1_1_0_0_a2_0,
  NET1606_i,
  ra2exec_ctl_clr_i_a2_0_a2
);
output dout_iv_1_0_29 ;
output dout_iv_1_0_28 ;
output dout_iv_1_0_12 ;
output dout_iv_1_0_17 ;
output dout_iv_1_0_15 ;
output dout_iv_1_0_23 ;
output dout_iv_1_0_21 ;
output dout_iv_1_0_25 ;
output dout_iv_1_0_2 ;
output dout_iv_1_0_1 ;
output dout_iv_1_0_5 ;
output dout_iv_1_0_3 ;
output dout_iv_1_0_8 ;
output dout_iv_1_0_7 ;
output dout_iv_1_0_6 ;
output dout_iv_1_0_9 ;
output dout_iv_1_0_20 ;
output dout_iv_1_0_10 ;
output dout_iv_1_0_11 ;
output dout_iv_1_0_24 ;
output dout_iv_1_0_26 ;
output dout_iv_1_0_14 ;
output dout_iv_1_0_19 ;
output dout_iv_1_0_18 ;
output dout_iv_1_0_4 ;
output dout_iv_1_0_30 ;
output dout_iv_1_0_22 ;
output dout_iv_1_0_13 ;
output dout_iv_1_0_0 ;
output dout_iv_1_0_27 ;
output dout_iv_1_0_16 ;
output dout_iv_a_0 ;
output dout_iv_1_29 ;
output dout_iv_1_12 ;
output dout_iv_1_13 ;
output dout_iv_1_17 ;
output dout_iv_1_16 ;
output dout_iv_1_21 ;
output dout_iv_1_20 ;
output dout_iv_1_23 ;
output dout_iv_1_0 ;
output dout_iv_1_3 ;
output dout_iv_1_1 ;
output dout_iv_1_6 ;
output dout_iv_1_5 ;
output dout_iv_1_4 ;
output dout_iv_1_9 ;
output dout_iv_1_7 ;
output dout_iv_1_10 ;
output dout_iv_1_28 ;
output dout_iv_1_24 ;
output dout_iv_1_22 ;
output dout_iv_1_2 ;
output dout_iv_1_11 ;
output dout_iv_1_26 ;
output dout_iv_1_14 ;
output dout_iv_1_19 ;
output dout_iv_1_31 ;
output dout_iv_1_15 ;
output dout_iv_1_25 ;
output dout_iv_1_8 ;
output dout_iv_1_18 ;
output dout_iv_1_30 ;
output dout_iv_1_27 ;
input r32_o_4_10 ;
input r32_o_4_24 ;
input r32_o_4_4 ;
input r32_o_4_22 ;
input r32_o_4_9 ;
input r32_o_4_2 ;
input r32_o_4_11 ;
input r32_o_4_14 ;
input r32_o_4_23 ;
input r32_o_4_19 ;
input r32_o_4_7 ;
input r32_o_4_20 ;
input r32_o_4_3 ;
input r32_o_4_1 ;
input r32_o_4_15 ;
input r32_o_4_13 ;
input r32_o_4_25 ;
input r32_o_4_0 ;
input r32_o_4_21 ;
input r32_o_4_8 ;
input r32_o_4_12 ;
input r32_o_4_5 ;
input r32_o_4_18 ;
input r32_o_4_16 ;
input r32_o_4_17 ;
input r32_o_4_6 ;
input r5_o_4 ;
input r5_o_3 ;
input r5_o_2 ;
input r5_o_1 ;
input r5_o_0 ;
output wb_o_31 ;
output wb_o_30 ;
output wb_o_29 ;
output wb_o_28 ;
output wb_o_27 ;
output wb_o_26 ;
output wb_o_25 ;
output wb_o_24 ;
output wb_o_23 ;
output wb_o_22 ;
output wb_o_21 ;
output wb_o_20 ;
output wb_o_19 ;
output wb_o_18 ;
output wb_o_17 ;
output wb_o_16 ;
output wb_o_15 ;
output wb_o_14 ;
output wb_o_13 ;
output wb_o_12 ;
output wb_o_11 ;
output wb_o_10 ;
output wb_o_9 ;
output wb_o_8 ;
output wb_o_7 ;
output wb_o_6 ;
output wb_o_5 ;
output wb_o_4 ;
output wb_o_3 ;
output wb_o_2 ;
output wb_o_1 ;
output wb_o_0 ;
input dout_31 ;
input dout_30 ;
input dout_29 ;
input dout_28 ;
input dout_27 ;
input dout_26 ;
input dout_25 ;
input dout_24 ;
input dout_23 ;
input dout_22 ;
input dout_21 ;
input dout_20 ;
input dout_19 ;
input dout_18 ;
input dout_17 ;
input dout_16 ;
input dout_15 ;
input dout_14 ;
input dout_13 ;
input dout_12 ;
input dout_11 ;
input dout_10 ;
input dout_9 ;
input dout_8 ;
input dout_7 ;
input dout_6 ;
input dout_5 ;
input dout_4 ;
input dout_3 ;
input dout_2 ;
input dout_1 ;
input dout_0 ;
input r32_o_3_29 ;
input r32_o_3_28 ;
input r32_o_3_26 ;
input r32_o_3_31 ;
input r32_o_3_30 ;
input r32_o_3_27 ;
input r32_o_3_25 ;
input r32_o_3_24 ;
input r32_o_3_23 ;
input r32_o_3_22 ;
input r32_o_3_21 ;
input r32_o_3_20 ;
input r32_o_3_19 ;
input r32_o_3_18 ;
input r32_o_3_17 ;
input r32_o_3_16 ;
input r32_o_3_15 ;
input r32_o_3_14 ;
input r32_o_3_13 ;
input r32_o_3_12 ;
input r32_o_3_11 ;
input r32_o_3_10 ;
input r32_o_3_9 ;
input r32_o_3_8 ;
input r32_o_3_7 ;
input r32_o_3_6 ;
input r32_o_3_5 ;
input r32_o_3_4 ;
input r32_o_3_3 ;
input r32_o_3_2 ;
input r32_o_3_1 ;
input r32_o_3_0 ;
input r32_o_2_31 ;
input r32_o_2_30 ;
input r32_o_2_29 ;
input r32_o_2_28 ;
input r32_o_2_27 ;
input r32_o_2_26 ;
input r32_o_2_25 ;
input r32_o_2_24 ;
input r32_o_2_23 ;
input r32_o_2_22 ;
input r32_o_2_21 ;
input r32_o_2_20 ;
input r32_o_2_19 ;
input r32_o_2_18 ;
input r32_o_2_17 ;
input r32_o_2_16 ;
input r32_o_2_15 ;
input r32_o_2_14 ;
input r32_o_2_13 ;
input r32_o_2_12 ;
input r32_o_2_11 ;
input r32_o_2_10 ;
input r32_o_2_9 ;
input r32_o_2_8 ;
input r32_o_2_7 ;
input r32_o_2_6 ;
input r32_o_2_5 ;
input r32_o_2_4 ;
input r32_o_2_3 ;
input r32_o_2_2 ;
input r32_o_2_1 ;
input r32_o_2_0 ;
input wb_mux_ctl_o_0 ;
input wb_we_o_0 ;
output reg_bank_m_0 ;
output res_7_0_0_a_27 ;
output res_7_0_0_a_23 ;
output res_7_0_0_a_21 ;
output res_7_0_0_a_20 ;
output res_7_0_0_a_22 ;
output res_7_0_0_a_24 ;
output res_7_0_0_a_26 ;
output res_7_0_0_a_19 ;
output res_7_0_0_a_18 ;
output res_7_0_0_a_25 ;
output res_7_0_0_a_17 ;
output res_7_0_0_a_0 ;
output res_7_0_0_a_1 ;
output res_7_0_0_a_5 ;
input ext_ctl_o_2 ;
input ext_ctl_o_0 ;
input ext_ctl_o_1 ;
output res_7_0_0_a2_0_0_0 ;
output res_7_0_0_a3_0 ;
input dout_iv_0_18 ;
input dout_iv_0_2 ;
input dout_iv_0_19 ;
input dout_iv_0_22 ;
input dout_iv_0_24 ;
input dout_iv_0_8 ;
input dout_iv_0_25 ;
input dout_iv_0_26 ;
input dout_iv_0_10 ;
input dout_iv_0_27 ;
input dout_iv_0_11 ;
input dout_iv_0_28 ;
input dout_iv_0_30 ;
input dout_iv_0_14 ;
input dout_iv_0_31 ;
input dout_iv_0_15 ;
input cmp_ctl_o_0 ;
input cmp_ctl_o_2 ;
input cmp_ctl_o_1 ;
output pc_next_iv_a_25 ;
output pc_next_iv_a_26 ;
output pc_next_iv_a_22 ;
output pc_next_iv_a_23 ;
output pc_next_iv_a_27 ;
output pc_next_iv_a_24 ;
output pc_next_iv_a_21 ;
output pc_next_iv_a_18 ;
output pc_next_iv_a_19 ;
output pc_next_iv_a_2 ;
output pc_next_iv_a_3 ;
output pc_next_iv_a_4 ;
output pc_next_iv_a_20 ;
output pc_next_iv_a_10 ;
output pc_next_iv_a_11 ;
output pc_next_iv_a_7 ;
output pc_next_iv_a_9 ;
output pc_next_iv_a_17 ;
output pc_next_iv_a_15 ;
output pc_next_iv_a_12 ;
output pc_next_iv_a_13 ;
output pc_next_iv_a_5 ;
output pc_next_iv_a_6 ;
output pc_next_iv_a_0 ;
output pc_next_iv_a_1 ;
output pc_next_iv_a_8 ;
output pc_next_iv_a_14 ;
output pc_next_iv_a_29 ;
output pc_next_iv_a_28 ;
output pc_next_iv_a_30 ;
output pc_next_iv_a_31 ;
input r32_o_1_31 ;
input r32_o_1_30 ;
input r32_o_1_29 ;
input r32_o_1_28 ;
input r32_o_1_27 ;
input r32_o_1_26 ;
output r32_o_1_13 ;
output r32_o_1_12 ;
output r32_o_1_25 ;
output r32_o_1_11 ;
output r32_o_1_21 ;
output r32_o_1_7 ;
output r32_o_1_19 ;
output r32_o_1_5 ;
output r32_o_1_18 ;
output r32_o_1_4 ;
output r32_o_1_20 ;
output r32_o_1_6 ;
output r32_o_1_22 ;
output r32_o_1_8 ;
output r32_o_1_24 ;
output r32_o_1_10 ;
output r32_o_1_17 ;
output r32_o_1_3 ;
output r32_o_1_16 ;
output r32_o_1_23 ;
output r32_o_1_9 ;
output r32_o_1_15 ;
output r32_o_1_0 ;
input r32_o_1_2 ;
input r32_o_1_1 ;
input r32_o_1_14 ;
input dout_iv_26 ;
input dout_iv_22 ;
input dout_iv_27 ;
input dout_iv_24 ;
input dout_iv_18 ;
input dout_iv_19 ;
input dout_iv_4 ;
input dout_iv_16 ;
input dout_iv_10 ;
input dout_iv_11 ;
input dout_iv_13 ;
input dout_iv_0_d0 ;
input dout_iv_14 ;
input dout_iv_30 ;
output pc_next_iv_1 ;
output pc_next_iv_2 ;
output pc_next_iv_3 ;
output pc_next_iv_9 ;
output pc_next_iv_10 ;
output pc_next_iv_6 ;
output pc_next_iv_8 ;
output pc_next_iv_14 ;
output pc_next_iv_11 ;
output pc_next_iv_12 ;
output pc_next_iv_4 ;
output pc_next_iv_5 ;
output pc_next_iv_0 ;
output pc_next_iv_7 ;
output pc_next_iv_13 ;
output pc_next_iv_0_2 ;
output pc_next_iv_0_3 ;
output pc_next_iv_0_4 ;
output pc_next_iv_0_10 ;
output pc_next_iv_0_11 ;
output pc_next_iv_0_7 ;
output pc_next_iv_0_9 ;
output pc_next_iv_0_15 ;
output pc_next_iv_0_12 ;
output pc_next_iv_0_13 ;
output pc_next_iv_0_5 ;
output pc_next_iv_0_6 ;
output pc_next_iv_0_1 ;
output pc_next_iv_0_8 ;
output pc_next_iv_0_14 ;
output pc_next_iv_0_27 ;
output pc_next_iv_0_26 ;
output pc_next_iv_0_25 ;
output pc_next_iv_0_24 ;
output pc_next_iv_0_23 ;
output pc_next_iv_0_22 ;
output pc_next_iv_0_21 ;
output pc_next_iv_0_20 ;
output pc_next_iv_0_19 ;
output pc_next_iv_0_18 ;
output pc_next_iv_0_17 ;
output pc_next_iv_0_0_d0 ;
output pc_next_iv_0_31 ;
output pc_next_iv_0_30 ;
output pc_next_iv_0_29 ;
output pc_next_iv_0_28 ;
output pc_next_iv_0_a_0 ;
input irq_addr_o_27 ;
input irq_addr_o_26 ;
input irq_addr_o_25 ;
input irq_addr_o_24 ;
input irq_addr_o_23 ;
input irq_addr_o_22 ;
input irq_addr_o_21 ;
input irq_addr_o_20 ;
input irq_addr_o_19 ;
input irq_addr_o_18 ;
input irq_addr_o_16 ;
input irq_addr_o_4 ;
input irq_addr_o_3 ;
input irq_addr_o_2 ;
input irq_addr_o_17 ;
input irq_addr_o_15 ;
input irq_addr_o_14 ;
input irq_addr_o_13 ;
input irq_addr_o_12 ;
input irq_addr_o_11 ;
input irq_addr_o_10 ;
input irq_addr_o_9 ;
input irq_addr_o_8 ;
input irq_addr_o_7 ;
input irq_addr_o_6 ;
input irq_addr_o_5 ;
input irq_addr_o_1 ;
input irq_addr_o_0 ;
input irq_addr_o_31 ;
input irq_addr_o_30 ;
input irq_addr_o_29 ;
input irq_addr_o_28 ;
input res_7_0_0_27 ;
input res_7_0_0_26 ;
input res_7_0_0_25 ;
input res_7_0_0_24 ;
input res_7_0_0_23 ;
input res_7_0_0_22 ;
input res_7_0_0_21 ;
input res_7_0_0_20 ;
input res_7_0_0_19 ;
input res_7_0_0_18 ;
input res_7_0_0_16 ;
input res_7_0_0_4 ;
input res_7_0_0_3 ;
input res_7_0_0_2 ;
input res_7_0_0_17 ;
input res_7_0_0_15 ;
input res_7_0_0_14 ;
input res_7_0_0_13 ;
input res_7_0_0_12 ;
input res_7_0_0_11 ;
input res_7_0_0_10 ;
input res_7_0_0_9 ;
input res_7_0_0_8 ;
input res_7_0_0_7 ;
input res_7_0_0_6 ;
input res_7_0_0_5 ;
input res_7_0_0_1 ;
input res_7_0_0_0 ;
input res_7_0_0_29 ;
input res_7_0_0_30 ;
input res_7_0_0_28 ;
input res_7_0_0_31 ;
output pc_next_iv_0_0_0 ;
input pc_gen_ctl_o_2 ;
input pc_gen_ctl_o_0 ;
input pc_gen_ctl_o_1 ;
input r32_o_0_25 ;
input r32_o_0_26 ;
input r32_o_0_22 ;
input r32_o_0_23 ;
input r32_o_0_27 ;
input r32_o_0_24 ;
input r32_o_0_21 ;
input r32_o_0_18 ;
input r32_o_0_19 ;
input r32_o_0_3 ;
input r32_o_0_4 ;
input r32_o_0_16 ;
input r32_o_0_20 ;
input r32_o_0_10 ;
input r32_o_0_11 ;
input r32_o_0_7 ;
input r32_o_0_9 ;
input r32_o_0_17 ;
input r32_o_0_15 ;
input r32_o_0_12 ;
input r32_o_0_13 ;
input r32_o_0_5 ;
input r32_o_0_6 ;
input r32_o_0_0 ;
input r32_o_0_8 ;
input r32_o_0_29 ;
input r32_o_0_28 ;
input r32_o_0_30 ;
input r32_o_0_31 ;
output r32_o_0_14 ;
output r32_o_0_2 ;
output r32_o_0_1 ;
output res_7_0_0_0_0 ;
output res_7_0_0_0_14 ;
output res_7_0_0_0_2 ;
output res_7_0_0_0_1 ;
output res_7_0_0_o3_0 ;
input r32_o_31 ;
input r32_o_30 ;
input r32_o_29 ;
input r32_o_28 ;
input r32_o_27 ;
input r32_o_26 ;
input r32_o_25 ;
input r32_o_24 ;
input r32_o_23 ;
input r32_o_22 ;
input r32_o_21 ;
input r32_o_20 ;
input r32_o_19 ;
input r32_o_18 ;
input r32_o_17 ;
input r32_o_16 ;
input r32_o_15 ;
input r32_o_13 ;
input r32_o_12 ;
input r32_o_11 ;
input r32_o_10 ;
input r32_o_9 ;
input r32_o_8 ;
input r32_o_7 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_0 ;
input r32_o_14 ;
input r32_o_2 ;
input r32_o_1 ;
output CurrState_Sreg0_2 ;
input zz_ins_i_c_1 ;
input zz_ins_i_c_2 ;
input zz_ins_i_c_5 ;
input zz_ins_i_c_6 ;
input zz_ins_i_c_9 ;
input zz_ins_i_c_10 ;
input zz_ins_i_c_13 ;
input zz_ins_i_c_14 ;
input zz_ins_i_c_17 ;
input zz_ins_i_c_18 ;
input zz_ins_i_c_21 ;
input zz_ins_i_c_22 ;
input zz_ins_i_c_25 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_3 ;
input zz_ins_i_c_4 ;
input zz_ins_i_c_7 ;
input zz_ins_i_c_8 ;
input zz_ins_i_c_11 ;
input zz_ins_i_c_12 ;
input zz_ins_i_c_15 ;
input zz_ins_i_c_16 ;
input zz_ins_i_c_19 ;
input zz_ins_i_c_20 ;
input zz_ins_i_c_24 ;
input zz_ins_i_c_23 ;
input pc_gen_ctl_0_0_a2_2_0 ;
output dout7_0_a2_0_a2_0_a2_0_a2_0 ;
input un16_mux_fw_0 ;
input mux_fw_1_0 ;
output dout7_0_a2_0_a2_0_a2_0_a2 ;
input un32_mux_fw_a ;
input un30_mux_fw ;
input mux_fw_1 ;
input un32_mux_fw_0 ;
input un32_mux_fw ;
output un1_pc_next46_0 ;
output un1_pc_add2 ;
output un1_pc_add3 ;
output un1_pc_add4 ;
output un1_pc_add10 ;
output un1_pc_add11 ;
output un1_pc_add7 ;
output un1_pc_add9 ;
output un1_pc_add15 ;
output un1_pc_add12 ;
output un1_pc_add13 ;
output un1_pc_add5 ;
output un1_pc_add6 ;
output un1_pc_add1 ;
output un1_pc_add8 ;
output un1_pc_add14 ;
output un1_pc_add31 ;
output un1_pc_add30 ;
output un1_pc_add29 ;
output un1_pc_add28 ;
output un1_pc_add27 ;
output un1_pc_add26 ;
output un1_pc_add25 ;
output un1_pc_add24 ;
output un1_pc_add23 ;
output un1_pc_add22 ;
output un1_pc_add21 ;
output un1_pc_add20 ;
output un1_pc_add19 ;
output un1_pc_add18 ;
output un1_pc_add17 ;
output un1_pc_add16 ;
output un1_pc_add0 ;
output iack_1 ;
output NET1572_i_i ;
input clk_c ;
input fsm_dly_1_1_0_0 ;
input rst_c ;
input irq_req_o ;
input fsm_dly_2_1_0_0 ;
input fsm_dly_0_1_0_0 ;
input fsm_dly_1_1_0_0_a2_0 ;
output NET1606_i ;
output ra2exec_ctl_clr_i_a2_0_a2 ;
wire dout_iv_1_0_29 ;
wire dout_iv_1_0_28 ;
wire dout_iv_1_0_12 ;
wire dout_iv_1_0_17 ;
wire dout_iv_1_0_15 ;
wire dout_iv_1_0_23 ;
wire dout_iv_1_0_21 ;
wire dout_iv_1_0_25 ;
wire dout_iv_1_0_2 ;
wire dout_iv_1_0_1 ;
wire dout_iv_1_0_5 ;
wire dout_iv_1_0_3 ;
wire dout_iv_1_0_8 ;
wire dout_iv_1_0_7 ;
wire dout_iv_1_0_6 ;
wire dout_iv_1_0_9 ;
wire dout_iv_1_0_20 ;
wire dout_iv_1_0_10 ;
wire dout_iv_1_0_11 ;
wire dout_iv_1_0_24 ;
wire dout_iv_1_0_26 ;
wire dout_iv_1_0_14 ;
wire dout_iv_1_0_19 ;
wire dout_iv_1_0_18 ;
wire dout_iv_1_0_4 ;
wire dout_iv_1_0_30 ;
wire dout_iv_1_0_22 ;
wire dout_iv_1_0_13 ;
wire dout_iv_1_0_0 ;
wire dout_iv_1_0_27 ;
wire dout_iv_1_0_16 ;
wire dout_iv_a_0 ;
wire dout_iv_1_29 ;
wire dout_iv_1_12 ;
wire dout_iv_1_13 ;
wire dout_iv_1_17 ;
wire dout_iv_1_16 ;
wire dout_iv_1_21 ;
wire dout_iv_1_20 ;
wire dout_iv_1_23 ;
wire dout_iv_1_0 ;
wire dout_iv_1_3 ;
wire dout_iv_1_1 ;
wire dout_iv_1_6 ;
wire dout_iv_1_5 ;
wire dout_iv_1_4 ;
wire dout_iv_1_9 ;
wire dout_iv_1_7 ;
wire dout_iv_1_10 ;
wire dout_iv_1_28 ;
wire dout_iv_1_24 ;
wire dout_iv_1_22 ;
wire dout_iv_1_2 ;
wire dout_iv_1_11 ;
wire dout_iv_1_26 ;
wire dout_iv_1_14 ;
wire dout_iv_1_19 ;
wire dout_iv_1_31 ;
wire dout_iv_1_15 ;
wire dout_iv_1_25 ;
wire dout_iv_1_8 ;
wire dout_iv_1_18 ;
wire dout_iv_1_30 ;
wire dout_iv_1_27 ;
wire r32_o_4_10 ;
wire r32_o_4_24 ;
wire r32_o_4_4 ;
wire r32_o_4_22 ;
wire r32_o_4_9 ;
wire r32_o_4_2 ;
wire r32_o_4_11 ;
wire r32_o_4_14 ;
wire r32_o_4_23 ;
wire r32_o_4_19 ;
wire r32_o_4_7 ;
wire r32_o_4_20 ;
wire r32_o_4_3 ;
wire r32_o_4_1 ;
wire r32_o_4_15 ;
wire r32_o_4_13 ;
wire r32_o_4_25 ;
wire r32_o_4_0 ;
wire r32_o_4_21 ;
wire r32_o_4_8 ;
wire r32_o_4_12 ;
wire r32_o_4_5 ;
wire r32_o_4_18 ;
wire r32_o_4_16 ;
wire r32_o_4_17 ;
wire r32_o_4_6 ;
wire r5_o_4 ;
wire r5_o_3 ;
wire r5_o_2 ;
wire r5_o_1 ;
wire r5_o_0 ;
wire wb_o_31 ;
wire wb_o_30 ;
wire wb_o_29 ;
wire wb_o_28 ;
wire wb_o_27 ;
wire wb_o_26 ;
wire wb_o_25 ;
wire wb_o_24 ;
wire wb_o_23 ;
wire wb_o_22 ;
wire wb_o_21 ;
wire wb_o_20 ;
wire wb_o_19 ;
wire wb_o_18 ;
wire wb_o_17 ;
wire wb_o_16 ;
wire wb_o_15 ;
wire wb_o_14 ;
wire wb_o_13 ;
wire wb_o_12 ;
wire wb_o_11 ;
wire wb_o_10 ;
wire wb_o_9 ;
wire wb_o_8 ;
wire wb_o_7 ;
wire wb_o_6 ;
wire wb_o_5 ;
wire wb_o_4 ;
wire wb_o_3 ;
wire wb_o_2 ;
wire wb_o_1 ;
wire wb_o_0 ;
wire dout_31 ;
wire dout_30 ;
wire dout_29 ;
wire dout_28 ;
wire dout_27 ;
wire dout_26 ;
wire dout_25 ;
wire dout_24 ;
wire dout_23 ;
wire dout_22 ;
wire dout_21 ;
wire dout_20 ;
wire dout_19 ;
wire dout_18 ;
wire dout_17 ;
wire dout_16 ;
wire dout_15 ;
wire dout_14 ;
wire dout_13 ;
wire dout_12 ;
wire dout_11 ;
wire dout_10 ;
wire dout_9 ;
wire dout_8 ;
wire dout_7 ;
wire dout_6 ;
wire dout_5 ;
wire dout_4 ;
wire dout_3 ;
wire dout_2 ;
wire dout_1 ;
wire dout_0 ;
wire r32_o_3_29 ;
wire r32_o_3_28 ;
wire r32_o_3_26 ;
wire r32_o_3_31 ;
wire r32_o_3_30 ;
wire r32_o_3_27 ;
wire r32_o_3_25 ;
wire r32_o_3_24 ;
wire r32_o_3_23 ;
wire r32_o_3_22 ;
wire r32_o_3_21 ;
wire r32_o_3_20 ;
wire r32_o_3_19 ;
wire r32_o_3_18 ;
wire r32_o_3_17 ;
wire r32_o_3_16 ;
wire r32_o_3_15 ;
wire r32_o_3_14 ;
wire r32_o_3_13 ;
wire r32_o_3_12 ;
wire r32_o_3_11 ;
wire r32_o_3_10 ;
wire r32_o_3_9 ;
wire r32_o_3_8 ;
wire r32_o_3_7 ;
wire r32_o_3_6 ;
wire r32_o_3_5 ;
wire r32_o_3_4 ;
wire r32_o_3_3 ;
wire r32_o_3_2 ;
wire r32_o_3_1 ;
wire r32_o_3_0 ;
wire r32_o_2_31 ;
wire r32_o_2_30 ;
wire r32_o_2_29 ;
wire r32_o_2_28 ;
wire r32_o_2_27 ;
wire r32_o_2_26 ;
wire r32_o_2_25 ;
wire r32_o_2_24 ;
wire r32_o_2_23 ;
wire r32_o_2_22 ;
wire r32_o_2_21 ;
wire r32_o_2_20 ;
wire r32_o_2_19 ;
wire r32_o_2_18 ;
wire r32_o_2_17 ;
wire r32_o_2_16 ;
wire r32_o_2_15 ;
wire r32_o_2_14 ;
wire r32_o_2_13 ;
wire r32_o_2_12 ;
wire r32_o_2_11 ;
wire r32_o_2_10 ;
wire r32_o_2_9 ;
wire r32_o_2_8 ;
wire r32_o_2_7 ;
wire r32_o_2_6 ;
wire r32_o_2_5 ;
wire r32_o_2_4 ;
wire r32_o_2_3 ;
wire r32_o_2_2 ;
wire r32_o_2_1 ;
wire r32_o_2_0 ;
wire wb_mux_ctl_o_0 ;
wire wb_we_o_0 ;
wire reg_bank_m_0 ;
wire res_7_0_0_a_27 ;
wire res_7_0_0_a_23 ;
wire res_7_0_0_a_21 ;
wire res_7_0_0_a_20 ;
wire res_7_0_0_a_22 ;
wire res_7_0_0_a_24 ;
wire res_7_0_0_a_26 ;
wire res_7_0_0_a_19 ;
wire res_7_0_0_a_18 ;
wire res_7_0_0_a_25 ;
wire res_7_0_0_a_17 ;
wire res_7_0_0_a_0 ;
wire res_7_0_0_a_1 ;
wire res_7_0_0_a_5 ;
wire ext_ctl_o_2 ;
wire ext_ctl_o_0 ;
wire ext_ctl_o_1 ;
wire res_7_0_0_a2_0_0_0 ;
wire res_7_0_0_a3_0 ;
wire dout_iv_0_18 ;
wire dout_iv_0_2 ;
wire dout_iv_0_19 ;
wire dout_iv_0_22 ;
wire dout_iv_0_24 ;
wire dout_iv_0_8 ;
wire dout_iv_0_25 ;
wire dout_iv_0_26 ;
wire dout_iv_0_10 ;
wire dout_iv_0_27 ;
wire dout_iv_0_11 ;
wire dout_iv_0_28 ;
wire dout_iv_0_30 ;
wire dout_iv_0_14 ;
wire dout_iv_0_31 ;
wire dout_iv_0_15 ;
wire cmp_ctl_o_0 ;
wire cmp_ctl_o_2 ;
wire cmp_ctl_o_1 ;
wire pc_next_iv_a_25 ;
wire pc_next_iv_a_26 ;
wire pc_next_iv_a_22 ;
wire pc_next_iv_a_23 ;
wire pc_next_iv_a_27 ;
wire pc_next_iv_a_24 ;
wire pc_next_iv_a_21 ;
wire pc_next_iv_a_18 ;
wire pc_next_iv_a_19 ;
wire pc_next_iv_a_2 ;
wire pc_next_iv_a_3 ;
wire pc_next_iv_a_4 ;
wire pc_next_iv_a_20 ;
wire pc_next_iv_a_10 ;
wire pc_next_iv_a_11 ;
wire pc_next_iv_a_7 ;
wire pc_next_iv_a_9 ;
wire pc_next_iv_a_17 ;
wire pc_next_iv_a_15 ;
wire pc_next_iv_a_12 ;
wire pc_next_iv_a_13 ;
wire pc_next_iv_a_5 ;
wire pc_next_iv_a_6 ;
wire pc_next_iv_a_0 ;
wire pc_next_iv_a_1 ;
wire pc_next_iv_a_8 ;
wire pc_next_iv_a_14 ;
wire pc_next_iv_a_29 ;
wire pc_next_iv_a_28 ;
wire pc_next_iv_a_30 ;
wire pc_next_iv_a_31 ;
wire r32_o_1_31 ;
wire r32_o_1_30 ;
wire r32_o_1_29 ;
wire r32_o_1_28 ;
wire r32_o_1_27 ;
wire r32_o_1_26 ;
wire r32_o_1_13 ;
wire r32_o_1_12 ;
wire r32_o_1_25 ;
wire r32_o_1_11 ;
wire r32_o_1_21 ;
wire r32_o_1_7 ;
wire r32_o_1_19 ;
wire r32_o_1_5 ;
wire r32_o_1_18 ;
wire r32_o_1_4 ;
wire r32_o_1_20 ;
wire r32_o_1_6 ;
wire r32_o_1_22 ;
wire r32_o_1_8 ;
wire r32_o_1_24 ;
wire r32_o_1_10 ;
wire r32_o_1_17 ;
wire r32_o_1_3 ;
wire r32_o_1_16 ;
wire r32_o_1_23 ;
wire r32_o_1_9 ;
wire r32_o_1_15 ;
wire r32_o_1_0 ;
wire r32_o_1_2 ;
wire r32_o_1_1 ;
wire r32_o_1_14 ;
wire dout_iv_26 ;
wire dout_iv_22 ;
wire dout_iv_27 ;
wire dout_iv_24 ;
wire dout_iv_18 ;
wire dout_iv_19 ;
wire dout_iv_4 ;
wire dout_iv_16 ;
wire dout_iv_10 ;
wire dout_iv_11 ;
wire dout_iv_13 ;
wire dout_iv_0_d0 ;
wire dout_iv_14 ;
wire dout_iv_30 ;
wire pc_next_iv_1 ;
wire pc_next_iv_2 ;
wire pc_next_iv_3 ;
wire pc_next_iv_9 ;
wire pc_next_iv_10 ;
wire pc_next_iv_6 ;
wire pc_next_iv_8 ;
wire pc_next_iv_14 ;
wire pc_next_iv_11 ;
wire pc_next_iv_12 ;
wire pc_next_iv_4 ;
wire pc_next_iv_5 ;
wire pc_next_iv_0 ;
wire pc_next_iv_7 ;
wire pc_next_iv_13 ;
wire pc_next_iv_0_2 ;
wire pc_next_iv_0_3 ;
wire pc_next_iv_0_4 ;
wire pc_next_iv_0_10 ;
wire pc_next_iv_0_11 ;
wire pc_next_iv_0_7 ;
wire pc_next_iv_0_9 ;
wire pc_next_iv_0_15 ;
wire pc_next_iv_0_12 ;
wire pc_next_iv_0_13 ;
wire pc_next_iv_0_5 ;
wire pc_next_iv_0_6 ;
wire pc_next_iv_0_1 ;
wire pc_next_iv_0_8 ;
wire pc_next_iv_0_14 ;
wire pc_next_iv_0_27 ;
wire pc_next_iv_0_26 ;
wire pc_next_iv_0_25 ;
wire pc_next_iv_0_24 ;
wire pc_next_iv_0_23 ;
wire pc_next_iv_0_22 ;
wire pc_next_iv_0_21 ;
wire pc_next_iv_0_20 ;
wire pc_next_iv_0_19 ;
wire pc_next_iv_0_18 ;
wire pc_next_iv_0_17 ;
wire pc_next_iv_0_0_d0 ;
wire pc_next_iv_0_31 ;
wire pc_next_iv_0_30 ;
wire pc_next_iv_0_29 ;
wire pc_next_iv_0_28 ;
wire pc_next_iv_0_a_0 ;
wire irq_addr_o_27 ;
wire irq_addr_o_26 ;
wire irq_addr_o_25 ;
wire irq_addr_o_24 ;
wire irq_addr_o_23 ;
wire irq_addr_o_22 ;
wire irq_addr_o_21 ;
wire irq_addr_o_20 ;
wire irq_addr_o_19 ;
wire irq_addr_o_18 ;
wire irq_addr_o_16 ;
wire irq_addr_o_4 ;
wire irq_addr_o_3 ;
wire irq_addr_o_2 ;
wire irq_addr_o_17 ;
wire irq_addr_o_15 ;
wire irq_addr_o_14 ;
wire irq_addr_o_13 ;
wire irq_addr_o_12 ;
wire irq_addr_o_11 ;
wire irq_addr_o_10 ;
wire irq_addr_o_9 ;
wire irq_addr_o_8 ;
wire irq_addr_o_7 ;
wire irq_addr_o_6 ;
wire irq_addr_o_5 ;
wire irq_addr_o_1 ;
wire irq_addr_o_0 ;
wire irq_addr_o_31 ;
wire irq_addr_o_30 ;
wire irq_addr_o_29 ;
wire irq_addr_o_28 ;
wire res_7_0_0_27 ;
wire res_7_0_0_26 ;
wire res_7_0_0_25 ;
wire res_7_0_0_24 ;
wire res_7_0_0_23 ;
wire res_7_0_0_22 ;
wire res_7_0_0_21 ;
wire res_7_0_0_20 ;
wire res_7_0_0_19 ;
wire res_7_0_0_18 ;
wire res_7_0_0_16 ;
wire res_7_0_0_4 ;
wire res_7_0_0_3 ;
wire res_7_0_0_2 ;
wire res_7_0_0_17 ;
wire res_7_0_0_15 ;
wire res_7_0_0_14 ;
wire res_7_0_0_13 ;
wire res_7_0_0_12 ;
wire res_7_0_0_11 ;
wire res_7_0_0_10 ;
wire res_7_0_0_9 ;
wire res_7_0_0_8 ;
wire res_7_0_0_7 ;
wire res_7_0_0_6 ;
wire res_7_0_0_5 ;
wire res_7_0_0_1 ;
wire res_7_0_0_0 ;
wire res_7_0_0_29 ;
wire res_7_0_0_30 ;
wire res_7_0_0_28 ;
wire res_7_0_0_31 ;
wire pc_next_iv_0_0_0 ;
wire pc_gen_ctl_o_2 ;
wire pc_gen_ctl_o_0 ;
wire pc_gen_ctl_o_1 ;
wire r32_o_0_25 ;
wire r32_o_0_26 ;
wire r32_o_0_22 ;
wire r32_o_0_23 ;
wire r32_o_0_27 ;
wire r32_o_0_24 ;
wire r32_o_0_21 ;
wire r32_o_0_18 ;
wire r32_o_0_19 ;
wire r32_o_0_3 ;
wire r32_o_0_4 ;
wire r32_o_0_16 ;
wire r32_o_0_20 ;
wire r32_o_0_10 ;
wire r32_o_0_11 ;
wire r32_o_0_7 ;
wire r32_o_0_9 ;
wire r32_o_0_17 ;
wire r32_o_0_15 ;
wire r32_o_0_12 ;
wire r32_o_0_13 ;
wire r32_o_0_5 ;
wire r32_o_0_6 ;
wire r32_o_0_0 ;
wire r32_o_0_8 ;
wire r32_o_0_29 ;
wire r32_o_0_28 ;
wire r32_o_0_30 ;
wire r32_o_0_31 ;
wire r32_o_0_14 ;
wire r32_o_0_2 ;
wire r32_o_0_1 ;
wire res_7_0_0_0_0 ;
wire res_7_0_0_0_14 ;
wire res_7_0_0_0_2 ;
wire res_7_0_0_0_1 ;
wire res_7_0_0_o3_0 ;
wire r32_o_31 ;
wire r32_o_30 ;
wire r32_o_29 ;
wire r32_o_28 ;
wire r32_o_27 ;
wire r32_o_26 ;
wire r32_o_25 ;
wire r32_o_24 ;
wire r32_o_23 ;
wire r32_o_22 ;
wire r32_o_21 ;
wire r32_o_20 ;
wire r32_o_19 ;
wire r32_o_18 ;
wire r32_o_17 ;
wire r32_o_16 ;
wire r32_o_15 ;
wire r32_o_13 ;
wire r32_o_12 ;
wire r32_o_11 ;
wire r32_o_10 ;
wire r32_o_9 ;
wire r32_o_8 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_0 ;
wire r32_o_14 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire CurrState_Sreg0_2 ;
wire zz_ins_i_c_1 ;
wire zz_ins_i_c_2 ;
wire zz_ins_i_c_5 ;
wire zz_ins_i_c_6 ;
wire zz_ins_i_c_9 ;
wire zz_ins_i_c_10 ;
wire zz_ins_i_c_13 ;
wire zz_ins_i_c_14 ;
wire zz_ins_i_c_17 ;
wire zz_ins_i_c_18 ;
wire zz_ins_i_c_21 ;
wire zz_ins_i_c_22 ;
wire zz_ins_i_c_25 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_3 ;
wire zz_ins_i_c_4 ;
wire zz_ins_i_c_7 ;
wire zz_ins_i_c_8 ;
wire zz_ins_i_c_11 ;
wire zz_ins_i_c_12 ;
wire zz_ins_i_c_15 ;
wire zz_ins_i_c_16 ;
wire zz_ins_i_c_19 ;
wire zz_ins_i_c_20 ;
wire zz_ins_i_c_24 ;
wire zz_ins_i_c_23 ;
wire pc_gen_ctl_0_0_a2_2_0 ;
wire dout7_0_a2_0_a2_0_a2_0_a2_0 ;
wire un16_mux_fw_0 ;
wire mux_fw_1_0 ;
wire dout7_0_a2_0_a2_0_a2_0_a2 ;
wire un32_mux_fw_a ;
wire un30_mux_fw ;
wire mux_fw_1 ;
wire un32_mux_fw_0 ;
wire un32_mux_fw ;
wire un1_pc_next46_0 ;
wire un1_pc_add2 ;
wire un1_pc_add3 ;
wire un1_pc_add4 ;
wire un1_pc_add10 ;
wire un1_pc_add11 ;
wire un1_pc_add7 ;
wire un1_pc_add9 ;
wire un1_pc_add15 ;
wire un1_pc_add12 ;
wire un1_pc_add13 ;
wire un1_pc_add5 ;
wire un1_pc_add6 ;
wire un1_pc_add1 ;
wire un1_pc_add8 ;
wire un1_pc_add14 ;
wire un1_pc_add31 ;
wire un1_pc_add30 ;
wire un1_pc_add29 ;
wire un1_pc_add28 ;
wire un1_pc_add27 ;
wire un1_pc_add26 ;
wire un1_pc_add25 ;
wire un1_pc_add24 ;
wire un1_pc_add23 ;
wire un1_pc_add22 ;
wire un1_pc_add21 ;
wire un1_pc_add20 ;
wire un1_pc_add19 ;
wire un1_pc_add18 ;
wire un1_pc_add17 ;
wire un1_pc_add16 ;
wire un1_pc_add0 ;
wire iack_1 ;
wire NET1572_i_i ;
wire clk_c ;
wire fsm_dly_1_1_0_0 ;
wire rst_c ;
wire irq_req_o ;
wire fsm_dly_2_1_0_0 ;
wire fsm_dly_0_1_0_0 ;
wire fsm_dly_1_1_0_0_a2_0 ;
wire NET1606_i ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire [1:1] CurrState_Sreg0_ns_0_i_o2_0;
wire [1:1] pc_prectl_1_i_a2_0_a2;
wire [0:0] CurrState_Sreg0_i;
wire [8:1] CurrState_Sreg0;
wire [31:1] dout_iv;
wire [29:0] dout_iv_0;
wire [30:0] reg_bank;
wire [31:0] reg_bank_1;
wire [31:0] r_data;
wire res_5 ;
wire res_2_NE ;
wire res_3_0 ;
wire N_30_i_0_s2 ;
wire N_32_i_0_s3 ;
wire N_36_i_0_s3 ;
wire N_34_i_0_s2 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @8:114
  ctl_FSM MAIN_FSM (
        .CurrState_Sreg0_ns_0_i_o2_0_0(CurrState_Sreg0_ns_0_i_o2_0[1]),
        .pc_prectl_1_i_a2_0_a2_0(pc_prectl_1_i_a2_0_a2[1]),
        .pc_gen_ctl_0_0_a2_2_0(pc_gen_ctl_0_0_a2_2_0),
        .zz_ins_i_c_0(zz_ins_i_c_23),
        .CurrState_Sreg0_i_0(CurrState_Sreg0_i[0]),
        .CurrState_Sreg0_3(CurrState_Sreg0[4]),
        .CurrState_Sreg0_0(CurrState_Sreg0[1]),
        .CurrState_Sreg0_5(CurrState_Sreg0[6]),
        .CurrState_Sreg0_7(CurrState_Sreg0[8]),
        .CurrState_Sreg0_2(CurrState_Sreg0_2),
        .CurrState_Sreg0_4(CurrState_Sreg0[5]),
        .CurrState_Sreg0_1(CurrState_Sreg0[2]),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .NET1606_i(NET1606_i),
        .fsm_dly_1_1_0_0_a2_0(fsm_dly_1_1_0_0_a2_0),
        .fsm_dly_0_1_0_0(fsm_dly_0_1_0_0),
        .fsm_dly_2_1_0_0(fsm_dly_2_1_0_0),
        .irq_req_o(irq_req_o),
        .rst_c(rst_c),
        .fsm_dly_1_1_0_0(fsm_dly_1_1_0_0),
        .clk_c(clk_c),
        .NET1572_i_i(NET1572_i_i),
        .iack_1(iack_1)
);
// @8:132
  pc_gen i_pc_gen (
        .r32_o_1_0(r32_o_1),
        .r32_o_1_1(r32_o_2),
        .r32_o_1_13(r32_o_14),
        .CurrState_Sreg0_i_0(CurrState_Sreg0_i[0]),
        .res_7_0_0_o3_0(res_7_0_0_o3_0),
        .res_7_0_0_0_0(res_7_0_0_0_1),
        .res_7_0_0_0_1(res_7_0_0_0_2),
        .res_7_0_0_0_13(res_7_0_0_0_14),
        .r32_o_0_0(r32_o_0),
        .r32_o_0_3(r32_o_3),
        .r32_o_0_4(r32_o_4),
        .r32_o_0_5(r32_o_5),
        .r32_o_0_6(r32_o_6),
        .r32_o_0_7(r32_o_7),
        .r32_o_0_8(r32_o_8),
        .r32_o_0_9(r32_o_9),
        .r32_o_0_10(r32_o_10),
        .r32_o_0_11(r32_o_11),
        .r32_o_0_12(r32_o_12),
        .r32_o_0_13(r32_o_13),
        .r32_o_0_15(r32_o_15),
        .r32_o_0_16(r32_o_16),
        .r32_o_0_17(r32_o_17),
        .r32_o_0_18(r32_o_18),
        .r32_o_0_19(r32_o_19),
        .r32_o_0_20(r32_o_20),
        .r32_o_0_21(r32_o_21),
        .r32_o_0_22(r32_o_22),
        .r32_o_0_23(r32_o_23),
        .r32_o_0_24(r32_o_24),
        .r32_o_0_25(r32_o_25),
        .r32_o_0_26(r32_o_26),
        .r32_o_0_27(r32_o_27),
        .r32_o_0_28(r32_o_28),
        .r32_o_0_29(r32_o_29),
        .r32_o_0_30(r32_o_30),
        .r32_o_0_31(r32_o_31),
        .r32_o_0_1(r32_o_0_1),
        .r32_o_0_2(r32_o_0_2),
        .r32_o_0_14(r32_o_0_14),
        .pc_prectl_1_i_a2_0_a2_0(pc_prectl_1_i_a2_0_a2[1]),
        .CurrState_Sreg0_ns_0_i_o2_0_0(CurrState_Sreg0_ns_0_i_o2_0[1]),
        .CurrState_Sreg0_2(CurrState_Sreg0_2),
        .CurrState_Sreg0_1(CurrState_Sreg0[2]),
        .CurrState_Sreg0_5(CurrState_Sreg0[6]),
        .CurrState_Sreg0_0(CurrState_Sreg0[1]),
        .CurrState_Sreg0_4(CurrState_Sreg0[5]),
        .CurrState_Sreg0_3(CurrState_Sreg0[4]),
        .CurrState_Sreg0_7(CurrState_Sreg0[8]),
        .pc_gen_ctl_o_1(pc_gen_ctl_o_1),
        .pc_gen_ctl_o_0(pc_gen_ctl_o_0),
        .pc_gen_ctl_o_2(pc_gen_ctl_o_2),
        .pc_next_iv_0_0_0(pc_next_iv_0_0_0),
        .res_7_0_0_31(res_7_0_0_31),
        .res_7_0_0_28(res_7_0_0_28),
        .res_7_0_0_30(res_7_0_0_30),
        .res_7_0_0_29(res_7_0_0_29),
        .res_7_0_0_0(res_7_0_0_0),
        .res_7_0_0_1(res_7_0_0_1),
        .res_7_0_0_5(res_7_0_0_5),
        .res_7_0_0_6(res_7_0_0_6),
        .res_7_0_0_7(res_7_0_0_7),
        .res_7_0_0_8(res_7_0_0_8),
        .res_7_0_0_9(res_7_0_0_9),
        .res_7_0_0_10(res_7_0_0_10),
        .res_7_0_0_11(res_7_0_0_11),
        .res_7_0_0_12(res_7_0_0_12),
        .res_7_0_0_13(res_7_0_0_13),
        .res_7_0_0_14(res_7_0_0_14),
        .res_7_0_0_15(res_7_0_0_15),
        .res_7_0_0_17(res_7_0_0_17),
        .res_7_0_0_2(res_7_0_0_2),
        .res_7_0_0_3(res_7_0_0_3),
        .res_7_0_0_4(res_7_0_0_4),
        .res_7_0_0_16(res_7_0_0_16),
        .res_7_0_0_18(res_7_0_0_18),
        .res_7_0_0_19(res_7_0_0_19),
        .res_7_0_0_20(res_7_0_0_20),
        .res_7_0_0_21(res_7_0_0_21),
        .res_7_0_0_22(res_7_0_0_22),
        .res_7_0_0_23(res_7_0_0_23),
        .res_7_0_0_24(res_7_0_0_24),
        .res_7_0_0_25(res_7_0_0_25),
        .res_7_0_0_26(res_7_0_0_26),
        .res_7_0_0_27(res_7_0_0_27),
        .irq_addr_o_28(irq_addr_o_28),
        .irq_addr_o_29(irq_addr_o_29),
        .irq_addr_o_30(irq_addr_o_30),
        .irq_addr_o_31(irq_addr_o_31),
        .irq_addr_o_0(irq_addr_o_0),
        .irq_addr_o_1(irq_addr_o_1),
        .irq_addr_o_5(irq_addr_o_5),
        .irq_addr_o_6(irq_addr_o_6),
        .irq_addr_o_7(irq_addr_o_7),
        .irq_addr_o_8(irq_addr_o_8),
        .irq_addr_o_9(irq_addr_o_9),
        .irq_addr_o_10(irq_addr_o_10),
        .irq_addr_o_11(irq_addr_o_11),
        .irq_addr_o_12(irq_addr_o_12),
        .irq_addr_o_13(irq_addr_o_13),
        .irq_addr_o_14(irq_addr_o_14),
        .irq_addr_o_15(irq_addr_o_15),
        .irq_addr_o_17(irq_addr_o_17),
        .irq_addr_o_2(irq_addr_o_2),
        .irq_addr_o_3(irq_addr_o_3),
        .irq_addr_o_4(irq_addr_o_4),
        .irq_addr_o_16(irq_addr_o_16),
        .irq_addr_o_18(irq_addr_o_18),
        .irq_addr_o_19(irq_addr_o_19),
        .irq_addr_o_20(irq_addr_o_20),
        .irq_addr_o_21(irq_addr_o_21),
        .irq_addr_o_22(irq_addr_o_22),
        .irq_addr_o_23(irq_addr_o_23),
        .irq_addr_o_24(irq_addr_o_24),
        .irq_addr_o_25(irq_addr_o_25),
        .irq_addr_o_26(irq_addr_o_26),
        .irq_addr_o_27(irq_addr_o_27),
        .pc_next_iv_0_a_0(pc_next_iv_0_a_0),
        .pc_next_iv_0_28(pc_next_iv_0_28),
        .pc_next_iv_0_29(pc_next_iv_0_29),
        .pc_next_iv_0_30(pc_next_iv_0_30),
        .pc_next_iv_0_31(pc_next_iv_0_31),
        .pc_next_iv_0_0_d0(pc_next_iv_0_0_d0),
        .pc_next_iv_0_17(pc_next_iv_0_17),
        .pc_next_iv_0_18(pc_next_iv_0_18),
        .pc_next_iv_0_19(pc_next_iv_0_19),
        .pc_next_iv_0_20(pc_next_iv_0_20),
        .pc_next_iv_0_21(pc_next_iv_0_21),
        .pc_next_iv_0_22(pc_next_iv_0_22),
        .pc_next_iv_0_23(pc_next_iv_0_23),
        .pc_next_iv_0_24(pc_next_iv_0_24),
        .pc_next_iv_0_25(pc_next_iv_0_25),
        .pc_next_iv_0_26(pc_next_iv_0_26),
        .pc_next_iv_0_27(pc_next_iv_0_27),
        .pc_next_iv_0_14(pc_next_iv_0_14),
        .pc_next_iv_0_8(pc_next_iv_0_8),
        .pc_next_iv_0_1(pc_next_iv_0_1),
        .pc_next_iv_0_6(pc_next_iv_0_6),
        .pc_next_iv_0_5(pc_next_iv_0_5),
        .pc_next_iv_0_13(pc_next_iv_0_13),
        .pc_next_iv_0_12(pc_next_iv_0_12),
        .pc_next_iv_0_15(pc_next_iv_0_15),
        .pc_next_iv_0_9(pc_next_iv_0_9),
        .pc_next_iv_0_7(pc_next_iv_0_7),
        .pc_next_iv_0_11(pc_next_iv_0_11),
        .pc_next_iv_0_10(pc_next_iv_0_10),
        .pc_next_iv_0_4(pc_next_iv_0_4),
        .pc_next_iv_0_3(pc_next_iv_0_3),
        .pc_next_iv_0_2(pc_next_iv_0_2),
        .pc_next_iv_13(pc_next_iv_13),
        .pc_next_iv_7(pc_next_iv_7),
        .pc_next_iv_0(pc_next_iv_0),
        .pc_next_iv_5(pc_next_iv_5),
        .pc_next_iv_4(pc_next_iv_4),
        .pc_next_iv_12(pc_next_iv_12),
        .pc_next_iv_11(pc_next_iv_11),
        .pc_next_iv_14(pc_next_iv_14),
        .pc_next_iv_8(pc_next_iv_8),
        .pc_next_iv_6(pc_next_iv_6),
        .pc_next_iv_10(pc_next_iv_10),
        .pc_next_iv_9(pc_next_iv_9),
        .pc_next_iv_3(pc_next_iv_3),
        .pc_next_iv_2(pc_next_iv_2),
        .pc_next_iv_1(pc_next_iv_1),
        .dout_iv_31(dout_iv[31]),
        .dout_iv_30(dout_iv_30),
        .dout_iv_28(dout_iv[28]),
        .dout_iv_29(dout_iv[29]),
        .dout_iv_14(dout_iv_14),
        .dout_iv_8(dout_iv[8]),
        .dout_iv_1(dout_iv[1]),
        .dout_iv_0(dout_iv_0_d0),
        .dout_iv_6(dout_iv[6]),
        .dout_iv_5(dout_iv[5]),
        .dout_iv_13(dout_iv_13),
        .dout_iv_12(dout_iv[12]),
        .dout_iv_15(dout_iv[15]),
        .dout_iv_17(dout_iv[17]),
        .dout_iv_9(dout_iv[9]),
        .dout_iv_7(dout_iv[7]),
        .dout_iv_11(dout_iv_11),
        .dout_iv_10(dout_iv_10),
        .dout_iv_20(dout_iv[20]),
        .dout_iv_16(dout_iv_16),
        .dout_iv_4(dout_iv_4),
        .dout_iv_3(dout_iv[3]),
        .dout_iv_2(dout_iv[2]),
        .dout_iv_19(dout_iv_19),
        .dout_iv_18(dout_iv_18),
        .dout_iv_21(dout_iv[21]),
        .dout_iv_24(dout_iv_24),
        .dout_iv_27(dout_iv_27),
        .dout_iv_23(dout_iv[23]),
        .dout_iv_22(dout_iv_22),
        .dout_iv_26(dout_iv_26),
        .dout_iv_25(dout_iv[25]),
        .r32_o_31(r32_o_0_31),
        .r32_o_30(r32_o_0_30),
        .r32_o_28(r32_o_0_28),
        .r32_o_29(r32_o_0_29),
        .r32_o_14(r32_o_1_14),
        .r32_o_8(r32_o_0_8),
        .r32_o_1(r32_o_1_1),
        .r32_o_0(r32_o_0_0),
        .r32_o_6(r32_o_0_6),
        .r32_o_5(r32_o_0_5),
        .r32_o_13(r32_o_0_13),
        .r32_o_12(r32_o_0_12),
        .r32_o_15(r32_o_0_15),
        .r32_o_17(r32_o_0_17),
        .r32_o_9(r32_o_0_9),
        .r32_o_7(r32_o_0_7),
        .r32_o_11(r32_o_0_11),
        .r32_o_10(r32_o_0_10),
        .r32_o_20(r32_o_0_20),
        .r32_o_16(r32_o_0_16),
        .r32_o_4(r32_o_0_4),
        .r32_o_3(r32_o_0_3),
        .r32_o_2(r32_o_1_2),
        .r32_o_19(r32_o_0_19),
        .r32_o_18(r32_o_0_18),
        .r32_o_21(r32_o_0_21),
        .r32_o_24(r32_o_0_24),
        .r32_o_27(r32_o_0_27),
        .r32_o_23(r32_o_0_23),
        .r32_o_22(r32_o_0_22),
        .r32_o_26(r32_o_0_26),
        .r32_o_25(r32_o_0_25),
        .pc_next_iv_a_31(pc_next_iv_a_31),
        .pc_next_iv_a_30(pc_next_iv_a_30),
        .pc_next_iv_a_28(pc_next_iv_a_28),
        .pc_next_iv_a_29(pc_next_iv_a_29),
        .pc_next_iv_a_14(pc_next_iv_a_14),
        .pc_next_iv_a_8(pc_next_iv_a_8),
        .pc_next_iv_a_1(pc_next_iv_a_1),
        .pc_next_iv_a_0(pc_next_iv_a_0),
        .pc_next_iv_a_6(pc_next_iv_a_6),
        .pc_next_iv_a_5(pc_next_iv_a_5),
        .pc_next_iv_a_13(pc_next_iv_a_13),
        .pc_next_iv_a_12(pc_next_iv_a_12),
        .pc_next_iv_a_15(pc_next_iv_a_15),
        .pc_next_iv_a_17(pc_next_iv_a_17),
        .pc_next_iv_a_9(pc_next_iv_a_9),
        .pc_next_iv_a_7(pc_next_iv_a_7),
        .pc_next_iv_a_11(pc_next_iv_a_11),
        .pc_next_iv_a_10(pc_next_iv_a_10),
        .pc_next_iv_a_20(pc_next_iv_a_20),
        .pc_next_iv_a_4(pc_next_iv_a_4),
        .pc_next_iv_a_3(pc_next_iv_a_3),
        .pc_next_iv_a_2(pc_next_iv_a_2),
        .pc_next_iv_a_19(pc_next_iv_a_19),
        .pc_next_iv_a_18(pc_next_iv_a_18),
        .pc_next_iv_a_21(pc_next_iv_a_21),
        .pc_next_iv_a_24(pc_next_iv_a_24),
        .pc_next_iv_a_27(pc_next_iv_a_27),
        .pc_next_iv_a_23(pc_next_iv_a_23),
        .pc_next_iv_a_22(pc_next_iv_a_22),
        .pc_next_iv_a_26(pc_next_iv_a_26),
        .pc_next_iv_a_25(pc_next_iv_a_25),
        .cmp_ctl_o_1(cmp_ctl_o_1),
        .cmp_ctl_o_2(cmp_ctl_o_2),
        .cmp_ctl_o_0(cmp_ctl_o_0),
        .un1_pc_add0(un1_pc_add0),
        .un1_pc_add16(un1_pc_add16),
        .un1_pc_add17(un1_pc_add17),
        .un1_pc_add18(un1_pc_add18),
        .un1_pc_add19(un1_pc_add19),
        .un1_pc_add20(un1_pc_add20),
        .un1_pc_add21(un1_pc_add21),
        .un1_pc_add22(un1_pc_add22),
        .un1_pc_add23(un1_pc_add23),
        .un1_pc_add24(un1_pc_add24),
        .un1_pc_add25(un1_pc_add25),
        .un1_pc_add26(un1_pc_add26),
        .un1_pc_add27(un1_pc_add27),
        .un1_pc_add28(un1_pc_add28),
        .un1_pc_add29(un1_pc_add29),
        .un1_pc_add30(un1_pc_add30),
        .un1_pc_add31(un1_pc_add31),
        .un1_pc_add14(un1_pc_add14),
        .un1_pc_add8(un1_pc_add8),
        .un1_pc_add1(un1_pc_add1),
        .un1_pc_add6(un1_pc_add6),
        .un1_pc_add5(un1_pc_add5),
        .un1_pc_add13(un1_pc_add13),
        .un1_pc_add12(un1_pc_add12),
        .un1_pc_add15(un1_pc_add15),
        .un1_pc_add9(un1_pc_add9),
        .un1_pc_add7(un1_pc_add7),
        .un1_pc_add11(un1_pc_add11),
        .un1_pc_add10(un1_pc_add10),
        .un1_pc_add4(un1_pc_add4),
        .un1_pc_add3(un1_pc_add3),
        .un1_pc_add2(un1_pc_add2),
        .un1_pc_next46_0(un1_pc_next46_0),
        .res_5(res_5),
        .res_2_NE(res_2_NE),
        .res_3_0(res_3_0)
);
// @8:147
  compare i_cmp (
        .dout_iv_0_15(dout_iv_0_15),
        .dout_iv_0_31(dout_iv_0_31),
        .dout_iv_0_14(dout_iv_0_14),
        .dout_iv_0_30(dout_iv_0_30),
        .dout_iv_0_13(dout_iv_0[13]),
        .dout_iv_0_29(dout_iv_0[29]),
        .dout_iv_0_12(dout_iv_0[12]),
        .dout_iv_0_28(dout_iv_0_28),
        .dout_iv_0_11(dout_iv_0_11),
        .dout_iv_0_27(dout_iv_0_27),
        .dout_iv_0_10(dout_iv_0_10),
        .dout_iv_0_26(dout_iv_0_26),
        .dout_iv_0_9(dout_iv_0[9]),
        .dout_iv_0_25(dout_iv_0_25),
        .dout_iv_0_8(dout_iv_0_8),
        .dout_iv_0_24(dout_iv_0_24),
        .dout_iv_0_7(dout_iv_0[7]),
        .dout_iv_0_23(dout_iv_0[23]),
        .dout_iv_0_6(dout_iv_0[6]),
        .dout_iv_0_22(dout_iv_0_22),
        .dout_iv_0_5(dout_iv_0[5]),
        .dout_iv_0_21(dout_iv_0[21]),
        .dout_iv_0_4(dout_iv_0[4]),
        .dout_iv_0_20(dout_iv_0[20]),
        .dout_iv_0_3(dout_iv_0[3]),
        .dout_iv_0_19(dout_iv_0_19),
        .dout_iv_0_2(dout_iv_0_2),
        .dout_iv_0_18(dout_iv_0_18),
        .dout_iv_0_1(dout_iv_0[1]),
        .dout_iv_0_17(dout_iv_0[17]),
        .dout_iv_0_0(dout_iv_0[0]),
        .dout_iv_0_16(dout_iv_0[16]),
        .dout_iv_21(dout_iv[21]),
        .dout_iv_1(dout_iv[1]),
        .dout_iv_16(dout_iv_16),
        .dout_iv_4(dout_iv_4),
        .dout_iv_27(dout_iv_27),
        .dout_iv_11(dout_iv_11),
        .dout_iv_26(dout_iv_26),
        .dout_iv_0(dout_iv_0_d0),
        .dout_iv_7(dout_iv[7]),
        .dout_iv_6(dout_iv[6]),
        .dout_iv_22(dout_iv_22),
        .dout_iv_10(dout_iv_10),
        .dout_iv_28(dout_iv[28]),
        .dout_iv_12(dout_iv[12]),
        .dout_iv_30(dout_iv_30),
        .dout_iv_13(dout_iv_13),
        .dout_iv_25(dout_iv[25]),
        .dout_iv_8(dout_iv[8]),
        .dout_iv_9(dout_iv[9]),
        .dout_iv_24(dout_iv_24),
        .dout_iv_17(dout_iv[17]),
        .dout_iv_2(dout_iv[2]),
        .dout_iv_3(dout_iv[3]),
        .dout_iv_18(dout_iv_18),
        .dout_iv_29(dout_iv[29]),
        .dout_iv_15(dout_iv[15]),
        .dout_iv_19(dout_iv_19),
        .dout_iv_14(dout_iv_14),
        .dout_iv_5(dout_iv[5]),
        .dout_iv_23(dout_iv[23]),
        .dout_iv_20(dout_iv[20]),
        .dout_iv_31(dout_iv[31]),
        .cmp_ctl_o_0(cmp_ctl_o_1),
        .cmp_ctl_o_1(cmp_ctl_o_2),
        .res_5(res_5),
        .res_2_NE(res_2_NE),
        .res_3_0(res_3_0)
);
// @8:157
  ext i_ext (
        .res_7_0_0_a3_0(res_7_0_0_a3_0),
        .res_7_0_0_o3_0(res_7_0_0_o3_0),
        .res_7_0_0_a2_0_0_0(res_7_0_0_a2_0_0_0),
        .res_7_0_0_0_0(res_7_0_0_0_0),
        .res_7_0_0_0_1(res_7_0_0_0_1),
        .res_7_0_0_0_14(res_7_0_0_0_14),
        .res_7_0_0_0_2(res_7_0_0_0_2),
        .ext_ctl_o_1(ext_ctl_o_1),
        .ext_ctl_o_0(ext_ctl_o_0),
        .ext_ctl_o_2(ext_ctl_o_2),
        .r32_o_1(r32_o_0_1),
        .r32_o_0(r32_o_1_0),
        .r32_o_15(r32_o_1_15),
        .r32_o_9(r32_o_1_9),
        .r32_o_23(r32_o_1_23),
        .r32_o_2(r32_o_0_2),
        .r32_o_16(r32_o_1_16),
        .r32_o_3(r32_o_1_3),
        .r32_o_17(r32_o_1_17),
        .r32_o_10(r32_o_1_10),
        .r32_o_24(r32_o_1_24),
        .r32_o_8(r32_o_1_8),
        .r32_o_22(r32_o_1_22),
        .r32_o_6(r32_o_1_6),
        .r32_o_20(r32_o_1_20),
        .r32_o_4(r32_o_1_4),
        .r32_o_18(r32_o_1_18),
        .r32_o_5(r32_o_1_5),
        .r32_o_19(r32_o_1_19),
        .r32_o_7(r32_o_1_7),
        .r32_o_21(r32_o_1_21),
        .r32_o_11(r32_o_1_11),
        .r32_o_25(r32_o_1_25),
        .res_7_0_0_a_5(res_7_0_0_a_5),
        .res_7_0_0_a_1(res_7_0_0_a_1),
        .res_7_0_0_a_0(res_7_0_0_a_0),
        .res_7_0_0_a_17(res_7_0_0_a_17),
        .res_7_0_0_a_25(res_7_0_0_a_25),
        .res_7_0_0_a_18(res_7_0_0_a_18),
        .res_7_0_0_a_19(res_7_0_0_a_19),
        .res_7_0_0_a_26(res_7_0_0_a_26),
        .res_7_0_0_a_24(res_7_0_0_a_24),
        .res_7_0_0_a_22(res_7_0_0_a_22),
        .res_7_0_0_a_20(res_7_0_0_a_20),
        .res_7_0_0_a_21(res_7_0_0_a_21),
        .res_7_0_0_a_23(res_7_0_0_a_23),
        .res_7_0_0_a_27(res_7_0_0_a_27)
);
// @8:166
  r32_reg_clr_cls ins_reg (
        .zz_ins_i_c_24(zz_ins_i_c_24),
        .zz_ins_i_c_23(zz_ins_i_c_23),
        .zz_ins_i_c_20(zz_ins_i_c_20),
        .zz_ins_i_c_19(zz_ins_i_c_19),
        .zz_ins_i_c_16(zz_ins_i_c_16),
        .zz_ins_i_c_15(zz_ins_i_c_15),
        .zz_ins_i_c_12(zz_ins_i_c_12),
        .zz_ins_i_c_11(zz_ins_i_c_11),
        .zz_ins_i_c_8(zz_ins_i_c_8),
        .zz_ins_i_c_7(zz_ins_i_c_7),
        .zz_ins_i_c_4(zz_ins_i_c_4),
        .zz_ins_i_c_3(zz_ins_i_c_3),
        .zz_ins_i_c_0(zz_ins_i_c_0),
        .zz_ins_i_c_25(zz_ins_i_c_25),
        .zz_ins_i_c_22(zz_ins_i_c_22),
        .zz_ins_i_c_21(zz_ins_i_c_21),
        .zz_ins_i_c_18(zz_ins_i_c_18),
        .zz_ins_i_c_17(zz_ins_i_c_17),
        .zz_ins_i_c_14(zz_ins_i_c_14),
        .zz_ins_i_c_13(zz_ins_i_c_13),
        .zz_ins_i_c_10(zz_ins_i_c_10),
        .zz_ins_i_c_9(zz_ins_i_c_9),
        .zz_ins_i_c_6(zz_ins_i_c_6),
        .zz_ins_i_c_5(zz_ins_i_c_5),
        .zz_ins_i_c_2(zz_ins_i_c_2),
        .zz_ins_i_c_1(zz_ins_i_c_1),
        .r32_o_24(r32_o_1_24),
        .r32_o_23(r32_o_1_23),
        .r32_o_20(r32_o_1_20),
        .r32_o_19(r32_o_1_19),
        .r32_o_16(r32_o_1_16),
        .r32_o_15(r32_o_1_15),
        .r32_o_12(r32_o_1_12),
        .r32_o_11(r32_o_1_11),
        .r32_o_8(r32_o_1_8),
        .r32_o_7(r32_o_1_7),
        .r32_o_4(r32_o_1_4),
        .r32_o_3(r32_o_1_3),
        .r32_o_0(r32_o_1_0),
        .r32_o_25(r32_o_1_25),
        .r32_o_22(r32_o_1_22),
        .r32_o_21(r32_o_1_21),
        .r32_o_18(r32_o_1_18),
        .r32_o_17(r32_o_1_17),
        .r32_o_14(r32_o_0_14),
        .r32_o_13(r32_o_1_13),
        .r32_o_10(r32_o_1_10),
        .r32_o_9(r32_o_1_9),
        .r32_o_6(r32_o_1_6),
        .r32_o_5(r32_o_1_5),
        .r32_o_2(r32_o_0_2),
        .r32_o_1(r32_o_0_1),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .clk_c(clk_c)
);
// @8:202
  reg_array reg_bank_cZ (
        .reg_bank_30(reg_bank[30]),
        .reg_bank_29(reg_bank[29]),
        .reg_bank_28(reg_bank[28]),
        .reg_bank_27(reg_bank[27]),
        .reg_bank_26(reg_bank[26]),
        .reg_bank_25(reg_bank[25]),
        .reg_bank_24(reg_bank[24]),
        .reg_bank_23(reg_bank[23]),
        .reg_bank_22(reg_bank[22]),
        .reg_bank_21(reg_bank[21]),
        .reg_bank_20(reg_bank[20]),
        .reg_bank_19(reg_bank[19]),
        .reg_bank_18(reg_bank[18]),
        .reg_bank_17(reg_bank[17]),
        .reg_bank_16(reg_bank[16]),
        .reg_bank_15(reg_bank[15]),
        .reg_bank_14(reg_bank[14]),
        .reg_bank_13(reg_bank[13]),
        .reg_bank_12(reg_bank[12]),
        .reg_bank_11(reg_bank[11]),
        .reg_bank_10(reg_bank[10]),
        .reg_bank_9(reg_bank[9]),
        .reg_bank_8(reg_bank[8]),
        .reg_bank_7(reg_bank[7]),
        .reg_bank_6(reg_bank[6]),
        .reg_bank_5(reg_bank[5]),
        .reg_bank_4(reg_bank[4]),
        .reg_bank_3(reg_bank[3]),
        .reg_bank_2(reg_bank[2]),
        .reg_bank_1_d0(reg_bank[1]),
        .reg_bank_0(reg_bank[0]),
        .reg_bank_1_31(reg_bank_1[31]),
        .reg_bank_1_30(reg_bank_1[30]),
        .reg_bank_1_29(reg_bank_1[29]),
        .reg_bank_1_28(reg_bank_1[28]),
        .reg_bank_1_27(reg_bank_1[27]),
        .reg_bank_1_26(reg_bank_1[26]),
        .reg_bank_1_25(reg_bank_1[25]),
        .reg_bank_1_24(reg_bank_1[24]),
        .reg_bank_1_23(reg_bank_1[23]),
        .reg_bank_1_22(reg_bank_1[22]),
        .reg_bank_1_21(reg_bank_1[21]),
        .reg_bank_1_20(reg_bank_1[20]),
        .reg_bank_1_19(reg_bank_1[19]),
        .reg_bank_1_18(reg_bank_1[18]),
        .reg_bank_1_17(reg_bank_1[17]),
        .reg_bank_1_16(reg_bank_1[16]),
        .reg_bank_1_15(reg_bank_1[15]),
        .reg_bank_1_14(reg_bank_1[14]),
        .reg_bank_1_13(reg_bank_1[13]),
        .reg_bank_1_12(reg_bank_1[12]),
        .reg_bank_1_11(reg_bank_1[11]),
        .reg_bank_1_10(reg_bank_1[10]),
        .reg_bank_1_9(reg_bank_1[9]),
        .reg_bank_1_8(reg_bank_1[8]),
        .reg_bank_1_7(reg_bank_1[7]),
        .reg_bank_1_6(reg_bank_1[6]),
        .reg_bank_1_5(reg_bank_1[5]),
        .reg_bank_1_4(reg_bank_1[4]),
        .reg_bank_1_3(reg_bank_1[3]),
        .reg_bank_1_2(reg_bank_1[2]),
        .reg_bank_1_1(reg_bank_1[1]),
        .reg_bank_1_0(reg_bank_1[0]),
        .reg_bank_m_0(reg_bank_m_0),
        .CurrState_Sreg0_0(CurrState_Sreg0_2),
        .wb_we_o_0(wb_we_o_0),
        .zz_ins_i_c_5(zz_ins_i_c_21),
        .zz_ins_i_c_6(zz_ins_i_c_22),
        .zz_ins_i_c_7(zz_ins_i_c_23),
        .zz_ins_i_c_8(zz_ins_i_c_24),
        .zz_ins_i_c_9(zz_ins_i_c_25),
        .zz_ins_i_c_0(zz_ins_i_c_16),
        .zz_ins_i_c_1(zz_ins_i_c_17),
        .zz_ins_i_c_2(zz_ins_i_c_18),
        .zz_ins_i_c_3(zz_ins_i_c_19),
        .zz_ins_i_c_4(zz_ins_i_c_20),
        .wb_mux_ctl_o_0(wb_mux_ctl_o_0),
        .r32_o_0_0(r32_o_2_0),
        .r32_o_0_1(r32_o_2_1),
        .r32_o_0_2(r32_o_2_2),
        .r32_o_0_3(r32_o_2_3),
        .r32_o_0_4(r32_o_2_4),
        .r32_o_0_5(r32_o_2_5),
        .r32_o_0_6(r32_o_2_6),
        .r32_o_0_7(r32_o_2_7),
        .r32_o_0_8(r32_o_2_8),
        .r32_o_0_9(r32_o_2_9),
        .r32_o_0_10(r32_o_2_10),
        .r32_o_0_11(r32_o_2_11),
        .r32_o_0_12(r32_o_2_12),
        .r32_o_0_13(r32_o_2_13),
        .r32_o_0_14(r32_o_2_14),
        .r32_o_0_15(r32_o_2_15),
        .r32_o_0_16(r32_o_2_16),
        .r32_o_0_17(r32_o_2_17),
        .r32_o_0_18(r32_o_2_18),
        .r32_o_0_19(r32_o_2_19),
        .r32_o_0_20(r32_o_2_20),
        .r32_o_0_21(r32_o_2_21),
        .r32_o_0_22(r32_o_2_22),
        .r32_o_0_23(r32_o_2_23),
        .r32_o_0_24(r32_o_2_24),
        .r32_o_0_25(r32_o_2_25),
        .r32_o_0_26(r32_o_1_26),
        .r32_o_0_27(r32_o_1_27),
        .r32_o_0_28(r32_o_1_28),
        .r32_o_0_29(r32_o_1_29),
        .r32_o_0_30(r32_o_1_30),
        .r32_o_0_31(r32_o_1_31),
        .r32_o_0(r32_o_3_0),
        .r32_o_1(r32_o_3_1),
        .r32_o_2(r32_o_3_2),
        .r32_o_3(r32_o_3_3),
        .r32_o_4(r32_o_3_4),
        .r32_o_5(r32_o_3_5),
        .r32_o_6(r32_o_3_6),
        .r32_o_7(r32_o_3_7),
        .r32_o_8(r32_o_3_8),
        .r32_o_9(r32_o_3_9),
        .r32_o_10(r32_o_3_10),
        .r32_o_11(r32_o_3_11),
        .r32_o_12(r32_o_3_12),
        .r32_o_13(r32_o_3_13),
        .r32_o_14(r32_o_3_14),
        .r32_o_15(r32_o_3_15),
        .r32_o_16(r32_o_3_16),
        .r32_o_17(r32_o_3_17),
        .r32_o_18(r32_o_3_18),
        .r32_o_19(r32_o_3_19),
        .r32_o_20(r32_o_3_20),
        .r32_o_21(r32_o_3_21),
        .r32_o_22(r32_o_3_22),
        .r32_o_23(r32_o_3_23),
        .r32_o_24(r32_o_3_24),
        .r32_o_25(r32_o_3_25),
        .r32_o_26(r32_o_2_26),
        .r32_o_27(r32_o_2_27),
        .r32_o_28(r32_o_2_28),
        .r32_o_29(r32_o_2_29),
        .r32_o_30(r32_o_2_30),
        .r32_o_31(r32_o_2_31),
        .dout_0(dout_0),
        .dout_1(dout_1),
        .dout_2(dout_2),
        .dout_3(dout_3),
        .dout_4(dout_4),
        .dout_5(dout_5),
        .dout_6(dout_6),
        .dout_7(dout_7),
        .dout_8(dout_8),
        .dout_9(dout_9),
        .dout_10(dout_10),
        .dout_11(dout_11),
        .dout_12(dout_12),
        .dout_13(dout_13),
        .dout_14(dout_14),
        .dout_15(dout_15),
        .dout_16(dout_16),
        .dout_17(dout_17),
        .dout_18(dout_18),
        .dout_19(dout_19),
        .dout_20(dout_20),
        .dout_21(dout_21),
        .dout_22(dout_22),
        .dout_23(dout_23),
        .dout_24(dout_24),
        .dout_25(dout_25),
        .dout_26(dout_26),
        .dout_27(dout_27),
        .dout_28(dout_28),
        .dout_29(dout_29),
        .dout_30(dout_30),
        .dout_31(dout_31),
        .r_data_0(r_data[0]),
        .r_data_1(r_data[1]),
        .r_data_2(r_data[2]),
        .r_data_3(r_data[3]),
        .r_data_4(r_data[4]),
        .r_data_5(r_data[5]),
        .r_data_6(r_data[6]),
        .r_data_7(r_data[7]),
        .r_data_8(r_data[8]),
        .r_data_9(r_data[9]),
        .r_data_10(r_data[10]),
        .r_data_11(r_data[11]),
        .r_data_12(r_data[12]),
        .r_data_13(r_data[13]),
        .r_data_14(r_data[14]),
        .r_data_15(r_data[15]),
        .r_data_16(r_data[16]),
        .r_data_17(r_data[17]),
        .r_data_18(r_data[18]),
        .r_data_19(r_data[19]),
        .r_data_20(r_data[20]),
        .r_data_21(r_data[21]),
        .r_data_22(r_data[22]),
        .r_data_23(r_data[23]),
        .r_data_24(r_data[24]),
        .r_data_25(r_data[25]),
        .r_data_26(r_data[26]),
        .r_data_27(r_data[27]),
        .r_data_28(r_data[28]),
        .r_data_29(r_data[29]),
        .r_data_30(r_data[30]),
        .r_data_31(r_data[31]),
        .wb_o_0(wb_o_0),
        .wb_o_1(wb_o_1),
        .wb_o_2(wb_o_2),
        .wb_o_3(wb_o_3),
        .wb_o_4(wb_o_4),
        .wb_o_5(wb_o_5),
        .wb_o_6(wb_o_6),
        .wb_o_7(wb_o_7),
        .wb_o_8(wb_o_8),
        .wb_o_9(wb_o_9),
        .wb_o_10(wb_o_10),
        .wb_o_11(wb_o_11),
        .wb_o_12(wb_o_12),
        .wb_o_13(wb_o_13),
        .wb_o_14(wb_o_14),
        .wb_o_15(wb_o_15),
        .wb_o_16(wb_o_16),
        .wb_o_17(wb_o_17),
        .wb_o_18(wb_o_18),
        .wb_o_19(wb_o_19),
        .wb_o_20(wb_o_20),
        .wb_o_21(wb_o_21),
        .wb_o_22(wb_o_22),
        .wb_o_23(wb_o_23),
        .wb_o_24(wb_o_24),
        .wb_o_25(wb_o_25),
        .wb_o_26(wb_o_26),
        .wb_o_27(wb_o_27),
        .wb_o_28(wb_o_28),
        .wb_o_29(wb_o_29),
        .wb_o_30(wb_o_30),
        .wb_o_31(wb_o_31),
        .r5_o_0(r5_o_0),
        .r5_o_1(r5_o_1),
        .r5_o_2(r5_o_2),
        .r5_o_3(r5_o_3),
        .r5_o_4(r5_o_4),
        .N_30_i_0_s2(N_30_i_0_s2),
        .un32_mux_fw_0(un32_mux_fw),
        .N_32_i_0_s3(N_32_i_0_s3),
        .N_36_i_0_s3(N_36_i_0_s3),
        .un32_mux_fw(un32_mux_fw_0),
        .N_34_i_0_s2(N_34_i_0_s2),
        .NET1572_i_i(NET1572_i_i),
        .clk_c(clk_c)
);
// @8:215
  fwd_mux rf_fwd_rt (
        .r32_o_27(r32_o_3_27),
        .r32_o_6(r32_o_4_6),
        .r32_o_17(r32_o_4_17),
        .r32_o_30(r32_o_3_30),
        .r32_o_16(r32_o_4_16),
        .r32_o_18(r32_o_4_18),
        .r32_o_5(r32_o_4_5),
        .r32_o_12(r32_o_4_12),
        .r32_o_8(r32_o_4_8),
        .r32_o_21(r32_o_4_21),
        .r32_o_0(r32_o_4_0),
        .r32_o_25(r32_o_4_25),
        .r32_o_13(r32_o_4_13),
        .r32_o_15(r32_o_4_15),
        .r32_o_1(r32_o_4_1),
        .r32_o_31(r32_o_3_31),
        .r32_o_3(r32_o_4_3),
        .r32_o_20(r32_o_4_20),
        .r32_o_7(r32_o_4_7),
        .r32_o_19(r32_o_4_19),
        .r32_o_23(r32_o_4_23),
        .r32_o_14(r32_o_4_14),
        .r32_o_26(r32_o_3_26),
        .r32_o_11(r32_o_4_11),
        .r32_o_2(r32_o_4_2),
        .r32_o_9(r32_o_4_9),
        .r32_o_22(r32_o_4_22),
        .r32_o_4(r32_o_4_4),
        .r32_o_24(r32_o_4_24),
        .r32_o_28(r32_o_3_28),
        .r32_o_10(r32_o_4_10),
        .r32_o_29(r32_o_3_29),
        .r_data_27(r_data[27]),
        .r_data_6(r_data[6]),
        .r_data_17(r_data[17]),
        .r_data_30(r_data[30]),
        .r_data_16(r_data[16]),
        .r_data_18(r_data[18]),
        .r_data_5(r_data[5]),
        .r_data_12(r_data[12]),
        .r_data_8(r_data[8]),
        .r_data_21(r_data[21]),
        .r_data_0(r_data[0]),
        .r_data_25(r_data[25]),
        .r_data_13(r_data[13]),
        .r_data_15(r_data[15]),
        .r_data_1(r_data[1]),
        .r_data_31(r_data[31]),
        .r_data_3(r_data[3]),
        .r_data_20(r_data[20]),
        .r_data_7(r_data[7]),
        .r_data_19(r_data[19]),
        .r_data_23(r_data[23]),
        .r_data_14(r_data[14]),
        .r_data_26(r_data[26]),
        .r_data_11(r_data[11]),
        .r_data_2(r_data[2]),
        .r_data_9(r_data[9]),
        .r_data_22(r_data[22]),
        .r_data_4(r_data[4]),
        .r_data_24(r_data[24]),
        .r_data_28(r_data[28]),
        .r_data_10(r_data[10]),
        .r_data_29(r_data[29]),
        .reg_bank_1_27(reg_bank_1[27]),
        .reg_bank_1_6(reg_bank_1[6]),
        .reg_bank_1_17(reg_bank_1[17]),
        .reg_bank_1_30(reg_bank_1[30]),
        .reg_bank_1_16(reg_bank_1[16]),
        .reg_bank_1_18(reg_bank_1[18]),
        .reg_bank_1_5(reg_bank_1[5]),
        .reg_bank_1_12(reg_bank_1[12]),
        .reg_bank_1_8(reg_bank_1[8]),
        .reg_bank_1_21(reg_bank_1[21]),
        .reg_bank_1_0(reg_bank_1[0]),
        .reg_bank_1_25(reg_bank_1[25]),
        .reg_bank_1_13(reg_bank_1[13]),
        .reg_bank_1_15(reg_bank_1[15]),
        .reg_bank_1_1(reg_bank_1[1]),
        .reg_bank_1_31(reg_bank_1[31]),
        .reg_bank_1_3(reg_bank_1[3]),
        .reg_bank_1_20(reg_bank_1[20]),
        .reg_bank_1_7(reg_bank_1[7]),
        .reg_bank_1_19(reg_bank_1[19]),
        .reg_bank_1_23(reg_bank_1[23]),
        .reg_bank_1_14(reg_bank_1[14]),
        .reg_bank_1_26(reg_bank_1[26]),
        .reg_bank_1_11(reg_bank_1[11]),
        .reg_bank_1_2(reg_bank_1[2]),
        .reg_bank_1_9(reg_bank_1[9]),
        .reg_bank_1_22(reg_bank_1[22]),
        .reg_bank_1_4(reg_bank_1[4]),
        .reg_bank_1_24(reg_bank_1[24]),
        .reg_bank_1_28(reg_bank_1[28]),
        .reg_bank_1_10(reg_bank_1[10]),
        .reg_bank_1_29(reg_bank_1[29]),
        .dout_iv_1_27(dout_iv_1_27),
        .dout_iv_1_30(dout_iv_1_30),
        .dout_iv_1_18(dout_iv_1_18),
        .dout_iv_1_8(dout_iv_1_8),
        .dout_iv_1_25(dout_iv_1_25),
        .dout_iv_1_15(dout_iv_1_15),
        .dout_iv_1_31(dout_iv_1_31),
        .dout_iv_1_19(dout_iv_1_19),
        .dout_iv_1_14(dout_iv_1_14),
        .dout_iv_1_26(dout_iv_1_26),
        .dout_iv_1_11(dout_iv_1_11),
        .dout_iv_1_2(dout_iv_1_2),
        .dout_iv_1_22(dout_iv_1_22),
        .dout_iv_1_24(dout_iv_1_24),
        .dout_iv_1_28(dout_iv_1_28),
        .dout_iv_1_10(dout_iv_1_10),
        .dout_iv_1_7(dout_iv_1_7),
        .dout_iv_1_9(dout_iv_1_9),
        .dout_iv_1_4(dout_iv_1_4),
        .dout_iv_1_5(dout_iv_1_5),
        .dout_iv_1_6(dout_iv_1_6),
        .dout_iv_1_1(dout_iv_1_1),
        .dout_iv_1_3(dout_iv_1_3),
        .dout_iv_1_0(dout_iv_1_0),
        .dout_iv_1_23(dout_iv_1_23),
        .dout_iv_1_20(dout_iv_1_20),
        .dout_iv_1_21(dout_iv_1_21),
        .dout_iv_1_16(dout_iv_1_16),
        .dout_iv_1_17(dout_iv_1_17),
        .dout_iv_1_13(dout_iv_1_13),
        .dout_iv_1_12(dout_iv_1_12),
        .dout_iv_1_29(dout_iv_1_29),
        .wb_o_7(wb_o_7),
        .wb_o_9(wb_o_9),
        .wb_o_4(wb_o_4),
        .wb_o_5(wb_o_5),
        .wb_o_6(wb_o_6),
        .wb_o_1(wb_o_1),
        .wb_o_3(wb_o_3),
        .wb_o_0(wb_o_0),
        .wb_o_23(wb_o_23),
        .wb_o_20(wb_o_20),
        .wb_o_21(wb_o_21),
        .wb_o_16(wb_o_16),
        .wb_o_17(wb_o_17),
        .wb_o_13(wb_o_13),
        .wb_o_12(wb_o_12),
        .wb_o_29(wb_o_29),
        .dout_iv_7(dout_iv_0[7]),
        .dout_iv_9(dout_iv_0[9]),
        .dout_iv_4(dout_iv_0[4]),
        .dout_iv_5(dout_iv_0[5]),
        .dout_iv_6(dout_iv_0[6]),
        .dout_iv_1_d0(dout_iv_0[1]),
        .dout_iv_3(dout_iv_0[3]),
        .dout_iv_0(dout_iv_0[0]),
        .dout_iv_23(dout_iv_0[23]),
        .dout_iv_20(dout_iv_0[20]),
        .dout_iv_21(dout_iv_0[21]),
        .dout_iv_16(dout_iv_0[16]),
        .dout_iv_17(dout_iv_0[17]),
        .dout_iv_13(dout_iv_0[13]),
        .dout_iv_12(dout_iv_0[12]),
        .dout_iv_29(dout_iv_0[29]),
        .wb_we_o_0(wb_we_o_0),
        .N_34_i_0_s2(N_34_i_0_s2),
        .N_36_i_0_s3(N_36_i_0_s3),
        .mux_fw_1(mux_fw_1),
        .un30_mux_fw(un30_mux_fw),
        .un32_mux_fw_a(un32_mux_fw_a),
        .dout7_0_a2_0_a2_0_a2_0_a2(dout7_0_a2_0_a2_0_a2_0_a2)
);
// @8:224
  fwd_mux_1 rs_fwd_rs (
        .reg_bank_16(reg_bank[16]),
        .reg_bank_27(reg_bank[27]),
        .reg_bank_15(reg_bank[15]),
        .reg_bank_1(reg_bank[1]),
        .reg_bank_17(reg_bank[17]),
        .reg_bank_3(reg_bank[3]),
        .reg_bank_0(reg_bank[0]),
        .reg_bank_12(reg_bank[12]),
        .reg_bank_9(reg_bank[9]),
        .reg_bank_13(reg_bank[13]),
        .reg_bank_22(reg_bank[22]),
        .reg_bank_5(reg_bank[5]),
        .reg_bank_30(reg_bank[30]),
        .reg_bank_4(reg_bank[4]),
        .reg_bank_18(reg_bank[18]),
        .reg_bank_23(reg_bank[23]),
        .reg_bank_8(reg_bank[8]),
        .reg_bank_25(reg_bank[25]),
        .reg_bank_28(reg_bank[28]),
        .reg_bank_21(reg_bank[21]),
        .reg_bank_2(reg_bank[2]),
        .reg_bank_20(reg_bank[20]),
        .reg_bank_19(reg_bank[19]),
        .reg_bank_14(reg_bank[14]),
        .reg_bank_26(reg_bank[26]),
        .reg_bank_24(reg_bank[24]),
        .reg_bank_7(reg_bank[7]),
        .reg_bank_6(reg_bank[6]),
        .reg_bank_11(reg_bank[11]),
        .reg_bank_10(reg_bank[10]),
        .reg_bank_29(reg_bank[29]),
        .r32_o_16(r32_o_4_16),
        .r32_o_27(r32_o_3_27),
        .r32_o_15(r32_o_4_15),
        .r32_o_1(r32_o_4_1),
        .r32_o_17(r32_o_4_17),
        .r32_o_3(r32_o_4_3),
        .r32_o_0(r32_o_4_0),
        .r32_o_12(r32_o_4_12),
        .r32_o_9(r32_o_4_9),
        .r32_o_13(r32_o_4_13),
        .r32_o_22(r32_o_4_22),
        .r32_o_5(r32_o_4_5),
        .r32_o_30(r32_o_3_30),
        .r32_o_4(r32_o_4_4),
        .r32_o_18(r32_o_4_18),
        .r32_o_23(r32_o_4_23),
        .r32_o_8(r32_o_4_8),
        .r32_o_25(r32_o_4_25),
        .r32_o_28(r32_o_3_28),
        .r32_o_21(r32_o_4_21),
        .r32_o_2(r32_o_4_2),
        .r32_o_20(r32_o_4_20),
        .r32_o_19(r32_o_4_19),
        .r32_o_14(r32_o_4_14),
        .r32_o_26(r32_o_3_26),
        .r32_o_24(r32_o_4_24),
        .r32_o_7(r32_o_4_7),
        .r32_o_6(r32_o_4_6),
        .r32_o_11(r32_o_4_11),
        .r32_o_10(r32_o_4_10),
        .r32_o_29(r32_o_3_29),
        .r32_o_31(r32_o_3_31),
        .r_data_16(r_data[16]),
        .r_data_27(r_data[27]),
        .r_data_15(r_data[15]),
        .r_data_1(r_data[1]),
        .r_data_17(r_data[17]),
        .r_data_3(r_data[3]),
        .r_data_0(r_data[0]),
        .r_data_12(r_data[12]),
        .r_data_9(r_data[9]),
        .r_data_13(r_data[13]),
        .r_data_22(r_data[22]),
        .r_data_5(r_data[5]),
        .r_data_30(r_data[30]),
        .r_data_4(r_data[4]),
        .r_data_18(r_data[18]),
        .r_data_23(r_data[23]),
        .r_data_8(r_data[8]),
        .r_data_25(r_data[25]),
        .r_data_28(r_data[28]),
        .r_data_21(r_data[21]),
        .r_data_2(r_data[2]),
        .r_data_20(r_data[20]),
        .r_data_19(r_data[19]),
        .r_data_14(r_data[14]),
        .r_data_26(r_data[26]),
        .r_data_24(r_data[24]),
        .r_data_7(r_data[7]),
        .r_data_6(r_data[6]),
        .r_data_11(r_data[11]),
        .r_data_10(r_data[10]),
        .r_data_29(r_data[29]),
        .r_data_31(r_data[31]),
        .reg_bank_m_0(reg_bank_m_0),
        .dout_iv_a_0(dout_iv_a_0),
        .dout_iv_1_16(dout_iv_1_0_16),
        .dout_iv_1_27(dout_iv_1_0_27),
        .dout_iv_1_0(dout_iv_1_0_0),
        .dout_iv_1_13(dout_iv_1_0_13),
        .dout_iv_1_22(dout_iv_1_0_22),
        .dout_iv_1_30(dout_iv_1_0_30),
        .dout_iv_1_4(dout_iv_1_0_4),
        .dout_iv_1_18(dout_iv_1_0_18),
        .dout_iv_1_19(dout_iv_1_0_19),
        .dout_iv_1_14(dout_iv_1_0_14),
        .dout_iv_1_26(dout_iv_1_0_26),
        .dout_iv_1_24(dout_iv_1_0_24),
        .dout_iv_1_11(dout_iv_1_0_11),
        .dout_iv_1_10(dout_iv_1_0_10),
        .dout_iv_1_20(dout_iv_1_0_20),
        .dout_iv_1_9(dout_iv_1_0_9),
        .dout_iv_1_6(dout_iv_1_0_6),
        .dout_iv_1_7(dout_iv_1_0_7),
        .dout_iv_1_8(dout_iv_1_0_8),
        .dout_iv_1_3(dout_iv_1_0_3),
        .dout_iv_1_5(dout_iv_1_0_5),
        .dout_iv_1_1(dout_iv_1_0_1),
        .dout_iv_1_2(dout_iv_1_0_2),
        .dout_iv_1_25(dout_iv_1_0_25),
        .dout_iv_1_21(dout_iv_1_0_21),
        .dout_iv_1_23(dout_iv_1_0_23),
        .dout_iv_1_15(dout_iv_1_0_15),
        .dout_iv_1_17(dout_iv_1_0_17),
        .dout_iv_1_12(dout_iv_1_0_12),
        .dout_iv_1_28(dout_iv_1_0_28),
        .dout_iv_1_29(dout_iv_1_0_29),
        .wb_o_19(wb_o_20),
        .wb_o_8(wb_o_9),
        .wb_o_5(wb_o_6),
        .wb_o_6(wb_o_7),
        .wb_o_7(wb_o_8),
        .wb_o_2(wb_o_3),
        .wb_o_4(wb_o_5),
        .wb_o_0(wb_o_1),
        .wb_o_1(wb_o_2),
        .wb_o_24(wb_o_25),
        .wb_o_20(wb_o_21),
        .wb_o_22(wb_o_23),
        .wb_o_14(wb_o_15),
        .wb_o_16(wb_o_17),
        .wb_o_11(wb_o_12),
        .wb_o_30(wb_o_31),
        .wb_o_27(wb_o_28),
        .wb_o_28(wb_o_29),
        .dout_iv_19(dout_iv[20]),
        .dout_iv_8(dout_iv[9]),
        .dout_iv_5(dout_iv[6]),
        .dout_iv_6(dout_iv[7]),
        .dout_iv_7(dout_iv[8]),
        .dout_iv_2(dout_iv[3]),
        .dout_iv_4(dout_iv[5]),
        .dout_iv_0(dout_iv[1]),
        .dout_iv_1_d0(dout_iv[2]),
        .dout_iv_24(dout_iv[25]),
        .dout_iv_20(dout_iv[21]),
        .dout_iv_22(dout_iv[23]),
        .dout_iv_14(dout_iv[15]),
        .dout_iv_16(dout_iv[17]),
        .dout_iv_11(dout_iv[12]),
        .dout_iv_30(dout_iv[31]),
        .dout_iv_27(dout_iv[28]),
        .dout_iv_28(dout_iv[29]),
        .N_32_i_0_s3(N_32_i_0_s3),
        .N_30_i_0_s2(N_30_i_0_s2),
        .mux_fw_1(mux_fw_1_0),
        .un16_mux_fw_0(un16_mux_fw_0),
        .un30_mux_fw(un30_mux_fw),
        .dout7_0_a2_0_a2_0_a2_0_a2(dout7_0_a2_0_a2_0_a2_0_a2_0)
);
endmodule /* rf_stage */

// VQM4.1+ 
module muldiv_ff (
  a_o_i_3,
  a_o_i_0,
  a_o_i_7,
  hilo_61,
  hilo_57,
  hilo_53,
  hilo_49,
  hilo_48,
  hilo_44,
  hilo_40,
  hilo_36,
  hilo_32,
  hilo_28,
  hilo_24,
  hilo_20,
  hilo_16,
  hilo_15,
  hilo_11,
  hilo_7,
  hilo_3,
  hilo_64,
  hilo_58,
  hilo_56,
  hilo_50,
  hilo_47,
  hilo_41,
  hilo_39,
  hilo_33,
  hilo_31,
  hilo_25,
  hilo_23,
  hilo_17,
  hilo_14,
  hilo_8,
  hilo_6,
  hilo_63,
  hilo_59,
  hilo_55,
  hilo_51,
  hilo_46,
  hilo_42,
  hilo_38,
  hilo_34,
  hilo_30,
  hilo_26,
  hilo_22,
  hilo_18,
  hilo_13,
  hilo_9,
  hilo_5,
  hilo_1,
  hilo_62,
  hilo_60,
  hilo_54,
  hilo_52,
  hilo_45,
  hilo_43,
  hilo_37,
  hilo_35,
  hilo_29,
  hilo_27,
  hilo_21,
  hilo_19,
  hilo_12,
  hilo_10,
  hilo_4,
  hilo_2,
  hilo_0,
  a_o_20,
  a_o_22,
  a_o_0,
  a_o_8,
  a_o_11,
  a_o_12,
  a_o_14,
  a_o_13,
  a_o_15,
  a_o_6,
  a_o_7,
  a_o_27,
  a_o_3,
  a_o_4,
  a_o_25,
  a_o_24,
  a_o_18,
  a_o_17,
  a_o_26,
  a_o_9,
  a_o_1,
  a_o_30,
  a_o_28,
  a_o_5,
  a_o_29,
  a_o_21,
  a_o_10,
  a_o_2,
  a_o_31,
  alu_func_o_0,
  alu_func_o_3,
  alu_func_o_1,
  alu_func_o_4,
  alu_func_o_2,
  BUS7117_i_m_0,
  BUS7117_i_m_4,
  BUS7117_i_m_16,
  b_o_iv_0_a5_0_d0,
  b_o_iv_0_a5_15,
  b_o_iv_0_a5_0_0,
  b_o_iv_0_a_0,
  b_o_iv_0_a_15,
  b_o_iv_0_a_16,
  wb_o_0,
  wb_o_4,
  wb_o_16,
  wb_o_28,
  b_o_iv_0_0,
  b_o_iv_0_15,
  b_o_iv_0_16,
  b_o_iv_0_d0,
  b_o_iv_1,
  b_o_iv_2,
  b_o_iv_3,
  b_o_iv_4,
  b_o_iv_5,
  b_o_iv_6,
  b_o_iv_7,
  b_o_iv_8,
  b_o_iv_9,
  b_o_iv_10,
  b_o_iv_11,
  b_o_iv_13,
  b_o_iv_14,
  b_o_iv_15,
  b_o_iv_16,
  b_o_iv_17,
  b_o_iv_18,
  b_o_iv_19,
  b_o_iv_20,
  b_o_iv_22,
  b_o_iv_31,
  b_o_iv_21,
  b_o_iv_23,
  b_o_iv_24,
  b_o_iv_25,
  b_o_iv_26,
  b_o_iv_29,
  b_o_iv_30,
  b_o_iv_a_0,
  b_o_iv_a_1,
  b_o_iv_a_2,
  b_o_iv_a_3,
  b_o_iv_a_4,
  b_o_iv_a_5,
  b_o_iv_a_6,
  b_o_iv_a_7,
  b_o_iv_a_8,
  b_o_iv_a_9,
  b_o_iv_a_10,
  b_o_iv_a_11,
  b_o_iv_a_13,
  b_o_iv_a_14,
  b_o_iv_a_15,
  b_o_iv_a_16,
  b_o_iv_a_17,
  b_o_iv_a_18,
  b_o_iv_a_19,
  b_o_iv_a_20,
  b_o_iv_a_21,
  b_o_iv_a_22,
  b_o_iv_a_23,
  b_o_iv_a_24,
  b_o_iv_a_25,
  b_o_iv_a_26,
  b_o_iv_a_29,
  b_o_iv_a_30,
  b_o_iv_a_31,
  BUS15471_i_m_0,
  BUS15471_i_m_1,
  BUS15471_i_m_2,
  BUS15471_i_m_4,
  BUS15471_i_m_5,
  BUS15471_i_m_6,
  BUS15471_i_m_7,
  BUS15471_i_m_8,
  BUS15471_i_m_9,
  BUS15471_i_m_10,
  BUS15471_i_m_12,
  BUS15471_i_m_13,
  BUS15471_i_m_14,
  BUS15471_i_m_16,
  BUS15471_i_m_17,
  BUS15471_i_m_18,
  BUS15471_i_m_19,
  BUS15471_i_m_20,
  BUS15471_i_m_21,
  BUS15471_i_m_22,
  BUS15471_i_m_23,
  BUS15471_i_m_24,
  BUS15471_i_m_25,
  BUS15471_i_m_28,
  BUS15471_i_m_29,
  BUS15471_i_m_30,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  count_5,
  overflow_2_sqmuxa,
  m4_0,
  un11_res,
  un24_res,
  G_230,
  I_159_a,
  finish,
  op2_sign_reged,
  start,
  mul,
  hilo25,
  rst_c,
  rdy,
  b_o_1_sqmuxa,
  b_o_0_sqmuxa,
  clk_c
);
input a_o_i_3 ;
input a_o_i_0 ;
input a_o_i_7 ;
output hilo_61 ;
output hilo_57 ;
output hilo_53 ;
output hilo_49 ;
output hilo_48 ;
output hilo_44 ;
output hilo_40 ;
output hilo_36 ;
output hilo_32 ;
output hilo_28 ;
output hilo_24 ;
output hilo_20 ;
output hilo_16 ;
output hilo_15 ;
output hilo_11 ;
output hilo_7 ;
output hilo_3 ;
output hilo_64 ;
output hilo_58 ;
output hilo_56 ;
output hilo_50 ;
output hilo_47 ;
output hilo_41 ;
output hilo_39 ;
output hilo_33 ;
output hilo_31 ;
output hilo_25 ;
output hilo_23 ;
output hilo_17 ;
output hilo_14 ;
output hilo_8 ;
output hilo_6 ;
output hilo_63 ;
output hilo_59 ;
output hilo_55 ;
output hilo_51 ;
output hilo_46 ;
output hilo_42 ;
output hilo_38 ;
output hilo_34 ;
output hilo_30 ;
output hilo_26 ;
output hilo_22 ;
output hilo_18 ;
output hilo_13 ;
output hilo_9 ;
output hilo_5 ;
output hilo_1 ;
output hilo_62 ;
output hilo_60 ;
output hilo_54 ;
output hilo_52 ;
output hilo_45 ;
output hilo_43 ;
output hilo_37 ;
output hilo_35 ;
output hilo_29 ;
output hilo_27 ;
output hilo_21 ;
output hilo_19 ;
output hilo_12 ;
output hilo_10 ;
output hilo_4 ;
output hilo_2 ;
output hilo_0 ;
input a_o_20 ;
input a_o_22 ;
input a_o_0 ;
input a_o_8 ;
input a_o_11 ;
input a_o_12 ;
input a_o_14 ;
input a_o_13 ;
input a_o_15 ;
input a_o_6 ;
input a_o_7 ;
input a_o_27 ;
input a_o_3 ;
input a_o_4 ;
input a_o_25 ;
input a_o_24 ;
input a_o_18 ;
input a_o_17 ;
input a_o_26 ;
input a_o_9 ;
input a_o_1 ;
input a_o_30 ;
input a_o_28 ;
input a_o_5 ;
input a_o_29 ;
input a_o_21 ;
input a_o_10 ;
input a_o_2 ;
input a_o_31 ;
input alu_func_o_0 ;
input alu_func_o_3 ;
input alu_func_o_1 ;
input alu_func_o_4 ;
input alu_func_o_2 ;
input BUS7117_i_m_0 ;
input BUS7117_i_m_4 ;
input BUS7117_i_m_16 ;
input b_o_iv_0_a5_0_d0 ;
input b_o_iv_0_a5_15 ;
input b_o_iv_0_a5_0_0 ;
input b_o_iv_0_a_0 ;
input b_o_iv_0_a_15 ;
input b_o_iv_0_a_16 ;
input wb_o_0 ;
input wb_o_4 ;
input wb_o_16 ;
input wb_o_28 ;
input b_o_iv_0_0 ;
output b_o_iv_0_15 ;
output b_o_iv_0_16 ;
input b_o_iv_0_d0 ;
input b_o_iv_1 ;
input b_o_iv_2 ;
input b_o_iv_3 ;
input b_o_iv_4 ;
input b_o_iv_5 ;
input b_o_iv_6 ;
input b_o_iv_7 ;
input b_o_iv_8 ;
input b_o_iv_9 ;
input b_o_iv_10 ;
input b_o_iv_11 ;
input b_o_iv_13 ;
input b_o_iv_14 ;
input b_o_iv_15 ;
input b_o_iv_16 ;
input b_o_iv_17 ;
input b_o_iv_18 ;
input b_o_iv_19 ;
input b_o_iv_20 ;
input b_o_iv_22 ;
input b_o_iv_31 ;
output b_o_iv_21 ;
output b_o_iv_23 ;
output b_o_iv_24 ;
output b_o_iv_25 ;
output b_o_iv_26 ;
output b_o_iv_29 ;
output b_o_iv_30 ;
input b_o_iv_a_0 ;
input b_o_iv_a_1 ;
input b_o_iv_a_2 ;
input b_o_iv_a_3 ;
input b_o_iv_a_4 ;
input b_o_iv_a_5 ;
input b_o_iv_a_6 ;
input b_o_iv_a_7 ;
input b_o_iv_a_8 ;
input b_o_iv_a_9 ;
input b_o_iv_a_10 ;
input b_o_iv_a_11 ;
input b_o_iv_a_13 ;
input b_o_iv_a_14 ;
input b_o_iv_a_15 ;
input b_o_iv_a_16 ;
input b_o_iv_a_17 ;
input b_o_iv_a_18 ;
input b_o_iv_a_19 ;
input b_o_iv_a_20 ;
input b_o_iv_a_21 ;
input b_o_iv_a_22 ;
input b_o_iv_a_23 ;
input b_o_iv_a_24 ;
input b_o_iv_a_25 ;
input b_o_iv_a_26 ;
input b_o_iv_a_29 ;
input b_o_iv_a_30 ;
input b_o_iv_a_31 ;
input BUS15471_i_m_0 ;
input BUS15471_i_m_1 ;
input BUS15471_i_m_2 ;
input BUS15471_i_m_4 ;
input BUS15471_i_m_5 ;
input BUS15471_i_m_6 ;
input BUS15471_i_m_7 ;
input BUS15471_i_m_8 ;
input BUS15471_i_m_9 ;
input BUS15471_i_m_10 ;
input BUS15471_i_m_12 ;
input BUS15471_i_m_13 ;
input BUS15471_i_m_14 ;
input BUS15471_i_m_16 ;
input BUS15471_i_m_17 ;
input BUS15471_i_m_18 ;
input BUS15471_i_m_19 ;
input BUS15471_i_m_20 ;
input BUS15471_i_m_21 ;
input BUS15471_i_m_22 ;
input BUS15471_i_m_23 ;
input BUS15471_i_m_24 ;
input BUS15471_i_m_25 ;
input BUS15471_i_m_28 ;
input BUS15471_i_m_29 ;
input BUS15471_i_m_30 ;
input r32_o_0 ;
input r32_o_1 ;
input r32_o_2 ;
input r32_o_4 ;
input r32_o_5 ;
input r32_o_6 ;
input r32_o_7 ;
input r32_o_8 ;
input r32_o_9 ;
input r32_o_10 ;
input r32_o_11 ;
input r32_o_12 ;
input r32_o_13 ;
input r32_o_14 ;
input r32_o_16 ;
input r32_o_17 ;
input r32_o_18 ;
input r32_o_19 ;
input r32_o_20 ;
input r32_o_21 ;
input r32_o_22 ;
input r32_o_23 ;
input r32_o_24 ;
input r32_o_25 ;
input r32_o_26 ;
input r32_o_28 ;
input r32_o_29 ;
input r32_o_30 ;
output count_5 ;
output overflow_2_sqmuxa ;
input m4_0 ;
output un11_res ;
output un24_res ;
input G_230 ;
input I_159_a ;
output finish ;
output op2_sign_reged ;
output start ;
output mul ;
output hilo25 ;
input rst_c ;
output rdy ;
input b_o_1_sqmuxa ;
input b_o_0_sqmuxa ;
input clk_c ;
wire a_o_i_3 ;
wire a_o_i_0 ;
wire a_o_i_7 ;
wire hilo_61 ;
wire hilo_57 ;
wire hilo_53 ;
wire hilo_49 ;
wire hilo_48 ;
wire hilo_44 ;
wire hilo_40 ;
wire hilo_36 ;
wire hilo_32 ;
wire hilo_28 ;
wire hilo_24 ;
wire hilo_20 ;
wire hilo_16 ;
wire hilo_15 ;
wire hilo_11 ;
wire hilo_7 ;
wire hilo_3 ;
wire hilo_64 ;
wire hilo_58 ;
wire hilo_56 ;
wire hilo_50 ;
wire hilo_47 ;
wire hilo_41 ;
wire hilo_39 ;
wire hilo_33 ;
wire hilo_31 ;
wire hilo_25 ;
wire hilo_23 ;
wire hilo_17 ;
wire hilo_14 ;
wire hilo_8 ;
wire hilo_6 ;
wire hilo_63 ;
wire hilo_59 ;
wire hilo_55 ;
wire hilo_51 ;
wire hilo_46 ;
wire hilo_42 ;
wire hilo_38 ;
wire hilo_34 ;
wire hilo_30 ;
wire hilo_26 ;
wire hilo_22 ;
wire hilo_18 ;
wire hilo_13 ;
wire hilo_9 ;
wire hilo_5 ;
wire hilo_1 ;
wire hilo_62 ;
wire hilo_60 ;
wire hilo_54 ;
wire hilo_52 ;
wire hilo_45 ;
wire hilo_43 ;
wire hilo_37 ;
wire hilo_35 ;
wire hilo_29 ;
wire hilo_27 ;
wire hilo_21 ;
wire hilo_19 ;
wire hilo_12 ;
wire hilo_10 ;
wire hilo_4 ;
wire hilo_2 ;
wire hilo_0 ;
wire a_o_20 ;
wire a_o_22 ;
wire a_o_0 ;
wire a_o_8 ;
wire a_o_11 ;
wire a_o_12 ;
wire a_o_14 ;
wire a_o_13 ;
wire a_o_15 ;
wire a_o_6 ;
wire a_o_7 ;
wire a_o_27 ;
wire a_o_3 ;
wire a_o_4 ;
wire a_o_25 ;
wire a_o_24 ;
wire a_o_18 ;
wire a_o_17 ;
wire a_o_26 ;
wire a_o_9 ;
wire a_o_1 ;
wire a_o_30 ;
wire a_o_28 ;
wire a_o_5 ;
wire a_o_29 ;
wire a_o_21 ;
wire a_o_10 ;
wire a_o_2 ;
wire a_o_31 ;
wire alu_func_o_0 ;
wire alu_func_o_3 ;
wire alu_func_o_1 ;
wire alu_func_o_4 ;
wire alu_func_o_2 ;
wire BUS7117_i_m_0 ;
wire BUS7117_i_m_4 ;
wire BUS7117_i_m_16 ;
wire b_o_iv_0_a5_0_d0 ;
wire b_o_iv_0_a5_15 ;
wire b_o_iv_0_a5_0_0 ;
wire b_o_iv_0_a_0 ;
wire b_o_iv_0_a_15 ;
wire b_o_iv_0_a_16 ;
wire wb_o_0 ;
wire wb_o_4 ;
wire wb_o_16 ;
wire wb_o_28 ;
wire b_o_iv_0_0 ;
wire b_o_iv_0_15 ;
wire b_o_iv_0_16 ;
wire b_o_iv_0_d0 ;
wire b_o_iv_1 ;
wire b_o_iv_2 ;
wire b_o_iv_3 ;
wire b_o_iv_4 ;
wire b_o_iv_5 ;
wire b_o_iv_6 ;
wire b_o_iv_7 ;
wire b_o_iv_8 ;
wire b_o_iv_9 ;
wire b_o_iv_10 ;
wire b_o_iv_11 ;
wire b_o_iv_13 ;
wire b_o_iv_14 ;
wire b_o_iv_15 ;
wire b_o_iv_16 ;
wire b_o_iv_17 ;
wire b_o_iv_18 ;
wire b_o_iv_19 ;
wire b_o_iv_20 ;
wire b_o_iv_22 ;
wire b_o_iv_31 ;
wire b_o_iv_21 ;
wire b_o_iv_23 ;
wire b_o_iv_24 ;
wire b_o_iv_25 ;
wire b_o_iv_26 ;
wire b_o_iv_29 ;
wire b_o_iv_30 ;
wire b_o_iv_a_0 ;
wire b_o_iv_a_1 ;
wire b_o_iv_a_2 ;
wire b_o_iv_a_3 ;
wire b_o_iv_a_4 ;
wire b_o_iv_a_5 ;
wire b_o_iv_a_6 ;
wire b_o_iv_a_7 ;
wire b_o_iv_a_8 ;
wire b_o_iv_a_9 ;
wire b_o_iv_a_10 ;
wire b_o_iv_a_11 ;
wire b_o_iv_a_13 ;
wire b_o_iv_a_14 ;
wire b_o_iv_a_15 ;
wire b_o_iv_a_16 ;
wire b_o_iv_a_17 ;
wire b_o_iv_a_18 ;
wire b_o_iv_a_19 ;
wire b_o_iv_a_20 ;
wire b_o_iv_a_21 ;
wire b_o_iv_a_22 ;
wire b_o_iv_a_23 ;
wire b_o_iv_a_24 ;
wire b_o_iv_a_25 ;
wire b_o_iv_a_26 ;
wire b_o_iv_a_29 ;
wire b_o_iv_a_30 ;
wire b_o_iv_a_31 ;
wire BUS15471_i_m_0 ;
wire BUS15471_i_m_1 ;
wire BUS15471_i_m_2 ;
wire BUS15471_i_m_4 ;
wire BUS15471_i_m_5 ;
wire BUS15471_i_m_6 ;
wire BUS15471_i_m_7 ;
wire BUS15471_i_m_8 ;
wire BUS15471_i_m_9 ;
wire BUS15471_i_m_10 ;
wire BUS15471_i_m_12 ;
wire BUS15471_i_m_13 ;
wire BUS15471_i_m_14 ;
wire BUS15471_i_m_16 ;
wire BUS15471_i_m_17 ;
wire BUS15471_i_m_18 ;
wire BUS15471_i_m_19 ;
wire BUS15471_i_m_20 ;
wire BUS15471_i_m_21 ;
wire BUS15471_i_m_22 ;
wire BUS15471_i_m_23 ;
wire BUS15471_i_m_24 ;
wire BUS15471_i_m_25 ;
wire BUS15471_i_m_28 ;
wire BUS15471_i_m_29 ;
wire BUS15471_i_m_30 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire count_5 ;
wire overflow_2_sqmuxa ;
wire m4_0 ;
wire un11_res ;
wire un24_res ;
wire G_230 ;
wire I_159_a ;
wire finish ;
wire op2_sign_reged ;
wire start ;
wire mul ;
wire hilo25 ;
wire rst_c ;
wire rdy ;
wire b_o_1_sqmuxa ;
wire b_o_0_sqmuxa ;
wire clk_c ;
wire [32:32] over_i_0;
wire [4:0] count;
wire [4:0] count_cout;
wire [31:0] op2_reged;
wire [0:0] un8_op1_sign_reged_0_a2;
wire [32:32] op2_reged_3_0_a2;
wire [0:0] addnop292;
wire [0:0] addnop290;
wire [9:9] hilo_37_iv_0_o2;
wire [63:0] hilo_37_iv_0_a;
wire [62:1] hilo_37_iv_0_0;
wire [51:0] hilo_37_iv_0;
wire [28:3] hilo_8_Z;
wire [55:0] hilo_37_iv_a;
wire [35:35] hilo_37_iv_0_i_o3_5;
wire [35:35] hilo_37_iv_0_i_a2_a;
wire [29:29] hilo_37_iv_0_a2_1_0_a2;
wire [64:64] hilo_37_iv_i_0_o2_6_a2_0;
wire [62:33] hilo_37_iv_0_6;
wire [58:32] hilo_37_iv_2;
wire [54:51] hilo_5_i_m;
wire [34:34] hilo_37_iv_0_a3_0;
wire [61:34] hilo_37_iv_0_4;
wire [55:55] hilo_37_iv_4;
wire [62:33] hilo_37_iv_0_3;
wire [55:0] hilo_37_iv_1;
wire [63:31] hilo_37_iv_0_2;
wire [58:49] hilo_37_iv_5;
wire [63:38] hilo_15_3;
wire [64:64] hilo_37_iv_i_0_o2_6;
wire [35:35] hilo_37_iv_0_a2_1_a2;
wire [36:36] hilo_37_iv_0_5;
wire [59:59] hilo_37_iv_0_a3_0_1;
wire [62:33] hilo_37_iv_0_6_a;
wire [48:48] hilo_5_Z;
wire [48:38] hilo_22_i_m;
wire [63:63] hilo_37_iv_0_a2;
wire [59:59] hilo_37_iv_0_a3_1_0;
wire [36:36] hilo_37_iv_0_5_a;
wire [35:35] hilo_37_iv_0_i_o3_2;
wire [35:35] hilo_37_iv_0_i_o3_5_a;
wire [63:34] hilo_37_iv_0_1;
wire [34:34] hilo_37_iv_0_4_a;
wire [34:34] hilo_37_iv_0_o3_0_o2;
wire [58:49] hilo_37_iv_5_a;
wire [53:32] hilo_22_Z;
wire [64:64] hilo_37_iv_i_0_o2_6_1;
wire [64:64] hilo_37_iv_i_0_o2_6_a;
wire [64:64] hilo_37_iv_i_0_a3_2_0_a2;
wire [62:33] hilo_37_iv_0_3_a;
wire [59:59] hilo_37_iv_0_a2_6_o2;
wire [55:55] hilo_37_iv_4_a;
wire [58:32] hilo_33_i_m;
wire [58:32] hilo_37_iv_2_a;
wire [36:36] hilo_37_iv_0_a3_3;
wire [36:31] hilo_37_iv_0_2_a;
wire [35:35] hilo_37_iv_0_i_o3_1;
wire [55:38] hilo_33_3;
wire [35:35] hilo_37_iv_0_a2_0_i_o2;
wire [48:48] hilo_22_i_m_a;
wire [48:48] hilo_15_3_i;
wire [64:64] hilo_37_iv_i_0_o2_6_1_a;
wire [64:64] hilo_37_iv_i_0_a3_1_0_a2;
wire [58:58] hilo_33_1;
wire [63:34] hilo_37_iv_0_1_a;
wire [35:35] hilo_37_iv_0_i_o3_1_a;
wire [1:1] hilo_37_iv_0_a2_0_a2;
wire [55:55] hilo_5_i_m_0_a2_0;
wire [23:23] hilo_i_m_0_a2;
wire [63:63] hilo_15_1;
wire [48:48] hilo_15_3_i_a;
wire [39:39] hilo_19_Z;
wire [63:63] hilo_37_iv_0_a2_a;
wire [32:1] nop2_reged;
wire [31:1] un134_hilo_combout;
wire [9:9] hilo_37_iv_0_o2_0;
wire [30:30] hilo_37_iv_0_a3_3_1;
wire [31:16] hilo_37_iv_0_0_a;
wire [58:32] hilo_33_i_m_a;
wire [53:32] hilo_22_a;
wire [43:32] hilo_15_2_i_m2;
wire [53:39] hilo_15_2;
wire [63:38] hilo_15_3_a;
wire [55:38] hilo_33_3_a;
wire [32:1] un1_op2_reged_1_combout;
wire [29:0] un134_hilo_cout;
wire [30:0] nop2_reged_cout;
wire over_add31_cout ;
wire un1_rdy_0_sqmuxa_3_combout ;
wire hilo_1_sqmuxa_i ;
wire op1_sign_reged_0_sqmuxa_i ;
wire rdy_1_i_a2_a ;
wire mul_0_sqmuxa_i ;
wire sign ;
wire un17_mul_0 ;
wire op1_sign_reged ;
wire finish_0_sqmuxa_i ;
wire sub_or_yn ;
wire sub_or_yn_0_sqmuxa_1_i ;
wire overflow ;
wire rdy_0_sqmuxa ;
wire overflow_4_iv_a ;
wire addnop2 ;
wire un1_mul_3_a ;
wire addop2_0_sqmuxa_1_i ;
wire addop2 ;
wire un1_mul_2_a ;
wire add1 ;
wire add1_3_sqmuxa_0_x ;
wire add1_14_a ;
wire eqnop2_2_NE ;
wire hilo_24_add3 ;
wire hilo_2_sqmuxa ;
wire hilo_1_sqmuxa_1 ;
wire un50_hilo_add5 ;
wire hilo_24_add16 ;
wire sub_or_yn_0_sqmuxa_1_a ;
wire eqz_2 ;
wire eqop2_2_NE ;
wire un50_hilo_add3 ;
wire hilo_24_add2 ;
wire hilo_24_add29 ;
wire hilo_24_add27 ;
wire un50_hilo_add29 ;
wire hilo_24_add28 ;
wire un50_hilo_add6 ;
wire hilo_24_add5 ;
wire un50_hilo_add2 ;
wire hilo_24_add1 ;
wire un50_hilo_add31 ;
wire hilo_24_add30 ;
wire un50_hilo_add23 ;
wire hilo_24_add22 ;
wire un50_hilo_add24 ;
wire hilo_24_add23 ;
wire un50_hilo_add21 ;
wire hilo_24_add20 ;
wire hilo_24_add31 ;
wire un59_hilo_add5 ;
wire hilo_24_add4 ;
wire un59_hilo_add4 ;
wire un50_hilo_add4 ;
wire un50_hilo_add0 ;
wire un59_hilo_add3 ;
wire un50_hilo_add18 ;
wire hilo_24_add17 ;
wire un59_hilo_add26 ;
wire un50_hilo_add26 ;
wire hilo_24_add25 ;
wire un59_hilo_add19 ;
wire un50_hilo_add19 ;
wire hilo_24_add18 ;
wire un59_hilo_add25 ;
wire un50_hilo_add25 ;
wire hilo_24_add24 ;
wire un50_hilo_add27 ;
wire hilo_24_add26 ;
wire hilo_24_add19 ;
wire un50_hilo_add32 ;
wire hilo_24_add32 ;
wire un29_sign_0_o3_i_o2 ;
wire addnop2109 ;
wire un59_hilo_add29 ;
wire un50_hilo_add28 ;
wire un50_hilo_add30 ;
wire un59_hilo_add6 ;
wire un59_hilo_add2 ;
wire un50_hilo_add1 ;
wire un59_hilo_add24 ;
wire un59_hilo_add31 ;
wire eqop2_2_NE_11 ;
wire eqop2_2_NE_9 ;
wire eqop2_2_NE_10 ;
wire eqop2_2_NE_12 ;
wire eqnop2_2_NE_8 ;
wire eqnop2_2_NE_7 ;
wire eqnop2_2_NE_11 ;
wire eqnop2_2_NE_13 ;
wire hilo_24_add0 ;
wire hilo_0_sqmuxa ;
wire un59_hilo_add21 ;
wire un59_hilo_add23 ;
wire hilo_24_add8 ;
wire hilo_24_add9 ;
wire hilo_24_add6 ;
wire hilo_3_sqmuxa ;
wire hilo_24_add21 ;
wire hilo_24_add7 ;
wire hilo_24_add14 ;
wire hilo_24_add12 ;
wire hilo_24_add10 ;
wire hilo_24_add15 ;
wire hilo_24_add11 ;
wire hilo_24_add13 ;
wire un59_hilo_add0 ;
wire eqz_2_17 ;
wire eqz_2_18 ;
wire eqz_2_27 ;
wire eqz_2_30 ;
wire un1_addnop2104_1 ;
wire un59_hilo_add17 ;
wire addop2_0_sqmuxa ;
wire addnop2110 ;
wire un29_sign_1_0_o3_i_o2 ;
wire un59_hilo_add32 ;
wire un59_hilo_add18 ;
wire un59_hilo_add27 ;
wire finish_0_sqmuxa_a ;
wire eqop2_2_NE_126 ;
wire eqop2_2_NE_125 ;
wire eqop2_2_NE_12_a ;
wire eqop2_2_32 ;
wire eqop2_2_0 ;
wire eqop2_2_NE_124_i_a3_i ;
wire eqnop2_2_NE_133_0 ;
wire eqnop2_2_NE_134 ;
wire eqnop2_2_NE_4 ;
wire eqnop2_2_NE_9 ;
wire un59_hilo_add28 ;
wire un59_hilo_add1 ;
wire un59_hilo_add30 ;
wire eqz_2_21 ;
wire eqz_2_22 ;
wire eqz_2_23 ;
wire eqz_2_20 ;
wire eqz_2_16 ;
wire eqz_2_27_a ;
wire un50_hilo_add17 ;
wire un24_res_1 ;
wire eqop2_2_NE_113_0_0 ;
wire eqop2_2_NE_112_0 ;
wire eqop2_2_NE_114 ;
wire eqop2_2_NE_9_a ;
wire eqop2_2_NE_118 ;
wire eqop2_2_NE_119 ;
wire eqop2_2_NE_116_0 ;
wire eqop2_2_NE_117_0 ;
wire eqop2_2_NE_120 ;
wire eqop2_2_NE_123 ;
wire eqop2_2_NE_122 ;
wire eqop2_2_NE_11_a ;
wire eqnop2_2_NE_8_a ;
wire eqnop2_2_NE_143 ;
wire eqnop2_2_NE_129 ;
wire eqnop2_2_NE_130_0_0 ;
wire eqnop2_2_NE_131 ;
wire eqnop2_2_NE_9_a ;
wire eqnop2_2_NE_137 ;
wire eqnop2_2_NE_138 ;
wire eqnop2_2_NE_139 ;
wire eqnop2_2_NE_11_a ;
wire un59_hilo_add7 ;
wire un50_hilo_add7 ;
wire un59_hilo_add8 ;
wire un50_hilo_add8 ;
wire un59_hilo_add9 ;
wire un50_hilo_add9 ;
wire un59_hilo_add10 ;
wire un50_hilo_add10 ;
wire un59_hilo_add11 ;
wire un50_hilo_add11 ;
wire un59_hilo_add12 ;
wire un50_hilo_add12 ;
wire un59_hilo_add13 ;
wire un50_hilo_add13 ;
wire un59_hilo_add14 ;
wire un50_hilo_add14 ;
wire un59_hilo_add15 ;
wire un50_hilo_add15 ;
wire un59_hilo_add20 ;
wire un50_hilo_add20 ;
wire un59_hilo_add22 ;
wire un50_hilo_add22 ;
wire un59_hilo_add16 ;
wire eqnop2_2_7 ;
wire eqnop2_2_NE_4_a ;
wire eqnop2_2_NE_7_a ;
wire eqnop2_2_29 ;
wire un50_hilo_add16 ;
wire hilo_24_carry_31 ;
wire hilo_24_carry_30 ;
wire hilo_24_carry_29 ;
wire hilo_24_carry_28 ;
wire hilo_24_carry_27 ;
wire hilo_24_carry_26 ;
wire hilo_24_carry_25 ;
wire hilo_24_carry_24 ;
wire hilo_24_carry_23 ;
wire hilo_24_carry_22 ;
wire hilo_24_carry_21 ;
wire hilo_24_carry_20 ;
wire hilo_24_carry_19 ;
wire hilo_24_carry_18 ;
wire hilo_24_carry_17 ;
wire hilo_24_carry_16 ;
wire hilo_24_carry_15 ;
wire hilo_24_carry_14 ;
wire hilo_24_carry_13 ;
wire hilo_24_carry_12 ;
wire hilo_24_carry_11 ;
wire hilo_24_carry_10 ;
wire hilo_24_carry_9 ;
wire hilo_24_carry_8 ;
wire hilo_24_carry_7 ;
wire hilo_24_carry_6 ;
wire hilo_24_carry_5 ;
wire hilo_24_carry_4 ;
wire hilo_24_carry_3 ;
wire hilo_24_carry_2 ;
wire hilo_24_carry_1 ;
wire hilo_24_carry_0 ;
wire over_carry_30 ;
wire over_carry_29 ;
wire over_carry_28 ;
wire over_carry_27 ;
wire over_carry_26 ;
wire over_carry_25 ;
wire over_carry_24 ;
wire over_carry_23 ;
wire over_carry_22 ;
wire over_carry_21 ;
wire over_carry_20 ;
wire over_carry_19 ;
wire over_carry_18 ;
wire over_carry_17 ;
wire over_carry_16 ;
wire over_carry_15 ;
wire over_carry_14 ;
wire over_carry_13 ;
wire over_carry_12 ;
wire over_carry_11 ;
wire over_carry_10 ;
wire over_carry_9 ;
wire over_carry_8 ;
wire over_carry_7 ;
wire over_carry_6 ;
wire over_carry_5 ;
wire over_carry_4 ;
wire over_carry_3 ;
wire over_carry_2 ;
wire over_carry_1 ;
wire over_carry_0 ;
wire un59_hilo_carry_31 ;
wire un59_hilo_carry_30 ;
wire un59_hilo_carry_29 ;
wire un59_hilo_carry_28 ;
wire un59_hilo_carry_27 ;
wire un59_hilo_carry_26 ;
wire un59_hilo_carry_25 ;
wire un59_hilo_carry_24 ;
wire un59_hilo_carry_23 ;
wire un59_hilo_carry_22 ;
wire un59_hilo_carry_21 ;
wire un59_hilo_carry_20 ;
wire un59_hilo_carry_19 ;
wire un59_hilo_carry_18 ;
wire un59_hilo_carry_17 ;
wire un59_hilo_carry_16 ;
wire un59_hilo_carry_15 ;
wire un59_hilo_carry_14 ;
wire un59_hilo_carry_13 ;
wire un59_hilo_carry_12 ;
wire un59_hilo_carry_11 ;
wire un59_hilo_carry_10 ;
wire un59_hilo_carry_9 ;
wire un59_hilo_carry_8 ;
wire un59_hilo_carry_7 ;
wire un59_hilo_carry_6 ;
wire un59_hilo_carry_5 ;
wire un59_hilo_carry_4 ;
wire un59_hilo_carry_3 ;
wire un59_hilo_carry_2 ;
wire un59_hilo_carry_1 ;
wire un59_hilo_carry_0 ;
wire un50_hilo_carry_31 ;
wire un50_hilo_carry_30 ;
wire un50_hilo_carry_29 ;
wire un50_hilo_carry_28 ;
wire un50_hilo_carry_27 ;
wire un50_hilo_carry_26 ;
wire un50_hilo_carry_25 ;
wire un50_hilo_carry_24 ;
wire un50_hilo_carry_23 ;
wire un50_hilo_carry_22 ;
wire un50_hilo_carry_21 ;
wire un50_hilo_carry_20 ;
wire un50_hilo_carry_19 ;
wire un50_hilo_carry_18 ;
wire un50_hilo_carry_17 ;
wire un50_hilo_carry_16 ;
wire un50_hilo_carry_15 ;
wire un50_hilo_carry_14 ;
wire un50_hilo_carry_13 ;
wire un50_hilo_carry_12 ;
wire un50_hilo_carry_11 ;
wire un50_hilo_carry_10 ;
wire un50_hilo_carry_9 ;
wire un50_hilo_carry_8 ;
wire un50_hilo_carry_7 ;
wire un50_hilo_carry_6 ;
wire un50_hilo_carry_5 ;
wire un50_hilo_carry_4 ;
wire un50_hilo_carry_3 ;
wire un50_hilo_carry_2 ;
wire un50_hilo_carry_1 ;
wire un50_hilo_carry_0 ;
wire N_1 ;
wire N_2 ;
wire N_3 ;
wire N_4 ;
wire N_5 ;
wire N_6 ;
wire N_7 ;
wire N_8 ;
wire N_9 ;
wire N_10 ;
wire N_11 ;
wire N_12 ;
wire N_13 ;
wire N_14 ;
wire N_15 ;
wire N_16 ;
wire N_17 ;
wire N_18 ;
wire N_19 ;
wire N_20 ;
wire N_21 ;
wire N_22 ;
wire N_23 ;
wire N_24 ;
wire N_25 ;
wire N_26 ;
wire N_27 ;
wire N_28 ;
wire N_29 ;
wire N_30 ;
wire N_31 ;
wire N_32 ;
wire N_33 ;
wire N_34 ;
wire GND ;
wire VCC ;
wire rst_c_i ;
wire hilo_1_sqmuxa_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
  cyclone_lcell over_add31_term (
        .combout(over_i_0[32]),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_add31_cout),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add31_term.cin_used="true";
defparam over_add31_term.operation_mode="normal";
defparam over_add31_term.output_mode="comb_only";
defparam over_add31_term.lut_mask="f0f0";
defparam over_add31_term.synch_mode="off";
defparam over_add31_term.sum_lutc_input="cin";
// @5:581
  cyclone_lcell count_0__Z (
        .regout(count[0]),
        .cout(count_cout[0]),
        .clk(clk_c),
        .dataa(count[0]),
        .datab(un1_rdy_0_sqmuxa_3_combout),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(hilo_1_sqmuxa_i_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam count_0__Z.operation_mode="arithmetic";
defparam count_0__Z.output_mode="reg_only";
defparam count_0__Z.lut_mask="6688";
defparam count_0__Z.synch_mode="on";
defparam count_0__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell count_1__Z (
        .regout(count[1]),
        .cout(count_cout[1]),
        .clk(clk_c),
        .dataa(count[1]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(hilo_1_sqmuxa_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(count_cout[0]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam count_1__Z.cin_used="true";
defparam count_1__Z.operation_mode="arithmetic";
defparam count_1__Z.output_mode="reg_only";
defparam count_1__Z.lut_mask="5aa0";
defparam count_1__Z.synch_mode="on";
defparam count_1__Z.sum_lutc_input="cin";
// @5:581
  cyclone_lcell count_2__Z (
        .regout(count[2]),
        .cout(count_cout[2]),
        .clk(clk_c),
        .dataa(count[2]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(hilo_1_sqmuxa_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(count_cout[1]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam count_2__Z.cin_used="true";
defparam count_2__Z.operation_mode="arithmetic";
defparam count_2__Z.output_mode="reg_only";
defparam count_2__Z.lut_mask="5aa0";
defparam count_2__Z.synch_mode="on";
defparam count_2__Z.sum_lutc_input="cin";
// @5:581
  cyclone_lcell count_3__Z (
        .regout(count[3]),
        .cout(count_cout[3]),
        .clk(clk_c),
        .dataa(count[3]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(hilo_1_sqmuxa_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(count_cout[2]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam count_3__Z.cin_used="true";
defparam count_3__Z.operation_mode="arithmetic";
defparam count_3__Z.output_mode="reg_only";
defparam count_3__Z.lut_mask="5aa0";
defparam count_3__Z.synch_mode="on";
defparam count_3__Z.sum_lutc_input="cin";
// @5:581
  cyclone_lcell count_4__Z (
        .regout(count[4]),
        .cout(count_cout[4]),
        .clk(clk_c),
        .dataa(count[4]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(hilo_1_sqmuxa_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(count_cout[3]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam count_4__Z.cin_used="true";
defparam count_4__Z.operation_mode="arithmetic";
defparam count_4__Z.output_mode="reg_only";
defparam count_4__Z.lut_mask="5aa0";
defparam count_4__Z.synch_mode="on";
defparam count_4__Z.sum_lutc_input="cin";
// @5:581
  cyclone_lcell count_5__Z (
        .regout(count_5),
        .clk(clk_c),
        .dataa(count_5),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(hilo_1_sqmuxa_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(count_cout[4]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam count_5__Z.cin_used="true";
defparam count_5__Z.operation_mode="normal";
defparam count_5__Z.output_mode="reg_only";
defparam count_5__Z.lut_mask="5a5a";
defparam count_5__Z.synch_mode="on";
defparam count_5__Z.sum_lutc_input="cin";
// @5:581
  cyclone_lcell op2_reged_31__Z (
        .regout(op2_reged[31]),
        .clk(clk_c),
        .dataa(r32_o_30),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_30),
        .datad(b_o_iv_a_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_31__Z.operation_mode="normal";
defparam op2_reged_31__Z.output_mode="reg_only";
defparam op2_reged_31__Z.lut_mask="000b";
defparam op2_reged_31__Z.synch_mode="off";
defparam op2_reged_31__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_30__Z (
        .combout(b_o_iv_30),
        .regout(op2_reged[30]),
        .clk(clk_c),
        .dataa(r32_o_29),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_29),
        .datad(b_o_iv_a_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_30__Z.operation_mode="normal";
defparam op2_reged_30__Z.output_mode="reg_and_comb";
defparam op2_reged_30__Z.lut_mask="000b";
defparam op2_reged_30__Z.synch_mode="off";
defparam op2_reged_30__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_29__Z (
        .combout(b_o_iv_29),
        .regout(op2_reged[29]),
        .clk(clk_c),
        .dataa(r32_o_28),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_28),
        .datad(b_o_iv_a_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_29__Z.operation_mode="normal";
defparam op2_reged_29__Z.output_mode="reg_and_comb";
defparam op2_reged_29__Z.lut_mask="000b";
defparam op2_reged_29__Z.synch_mode="off";
defparam op2_reged_29__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_28__Z (
        .combout(b_o_iv_0_16),
        .regout(op2_reged[28]),
        .clk(clk_c),
        .dataa(wb_o_28),
        .datab(b_o_iv_0_a_16),
        .datac(b_o_1_sqmuxa),
        .datad(b_o_iv_0_a5_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_28__Z.operation_mode="normal";
defparam op2_reged_28__Z.output_mode="reg_and_comb";
defparam op2_reged_28__Z.lut_mask="0023";
defparam op2_reged_28__Z.synch_mode="off";
defparam op2_reged_28__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_27__Z (
        .combout(b_o_iv_0_15),
        .regout(op2_reged[27]),
        .clk(clk_c),
        .dataa(r32_o_26),
        .datab(b_o_0_sqmuxa),
        .datac(b_o_iv_0_a5_15),
        .datad(b_o_iv_0_a_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_27__Z.operation_mode="normal";
defparam op2_reged_27__Z.output_mode="reg_and_comb";
defparam op2_reged_27__Z.lut_mask="000b";
defparam op2_reged_27__Z.synch_mode="off";
defparam op2_reged_27__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_26__Z (
        .combout(b_o_iv_26),
        .regout(op2_reged[26]),
        .clk(clk_c),
        .dataa(r32_o_25),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_25),
        .datad(b_o_iv_a_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_26__Z.operation_mode="normal";
defparam op2_reged_26__Z.output_mode="reg_and_comb";
defparam op2_reged_26__Z.lut_mask="000b";
defparam op2_reged_26__Z.synch_mode="off";
defparam op2_reged_26__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_25__Z (
        .combout(b_o_iv_25),
        .regout(op2_reged[25]),
        .clk(clk_c),
        .dataa(r32_o_24),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_24),
        .datad(b_o_iv_a_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_25__Z.operation_mode="normal";
defparam op2_reged_25__Z.output_mode="reg_and_comb";
defparam op2_reged_25__Z.lut_mask="000b";
defparam op2_reged_25__Z.synch_mode="off";
defparam op2_reged_25__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_24__Z (
        .combout(b_o_iv_24),
        .regout(op2_reged[24]),
        .clk(clk_c),
        .dataa(r32_o_23),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_23),
        .datad(b_o_iv_a_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_24__Z.operation_mode="normal";
defparam op2_reged_24__Z.output_mode="reg_and_comb";
defparam op2_reged_24__Z.lut_mask="000b";
defparam op2_reged_24__Z.synch_mode="off";
defparam op2_reged_24__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_23__Z (
        .combout(b_o_iv_23),
        .regout(op2_reged[23]),
        .clk(clk_c),
        .dataa(r32_o_22),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_22),
        .datad(b_o_iv_a_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_23__Z.operation_mode="normal";
defparam op2_reged_23__Z.output_mode="reg_and_comb";
defparam op2_reged_23__Z.lut_mask="000b";
defparam op2_reged_23__Z.synch_mode="off";
defparam op2_reged_23__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_22__Z (
        .regout(op2_reged[22]),
        .clk(clk_c),
        .dataa(r32_o_21),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_21),
        .datad(b_o_iv_a_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_22__Z.operation_mode="normal";
defparam op2_reged_22__Z.output_mode="reg_only";
defparam op2_reged_22__Z.lut_mask="000b";
defparam op2_reged_22__Z.synch_mode="off";
defparam op2_reged_22__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_21__Z (
        .combout(b_o_iv_21),
        .regout(op2_reged[21]),
        .clk(clk_c),
        .dataa(r32_o_20),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_20),
        .datad(b_o_iv_a_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_21__Z.operation_mode="normal";
defparam op2_reged_21__Z.output_mode="reg_and_comb";
defparam op2_reged_21__Z.lut_mask="000b";
defparam op2_reged_21__Z.synch_mode="off";
defparam op2_reged_21__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_20__Z (
        .regout(op2_reged[20]),
        .clk(clk_c),
        .dataa(r32_o_19),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_19),
        .datad(b_o_iv_a_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_20__Z.operation_mode="normal";
defparam op2_reged_20__Z.output_mode="reg_only";
defparam op2_reged_20__Z.lut_mask="000b";
defparam op2_reged_20__Z.synch_mode="off";
defparam op2_reged_20__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_19__Z (
        .regout(op2_reged[19]),
        .clk(clk_c),
        .dataa(r32_o_18),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_18),
        .datad(b_o_iv_a_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_19__Z.operation_mode="normal";
defparam op2_reged_19__Z.output_mode="reg_only";
defparam op2_reged_19__Z.lut_mask="000b";
defparam op2_reged_19__Z.synch_mode="off";
defparam op2_reged_19__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_18__Z (
        .regout(op2_reged[18]),
        .clk(clk_c),
        .dataa(r32_o_17),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_17),
        .datad(b_o_iv_a_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_18__Z.operation_mode="normal";
defparam op2_reged_18__Z.output_mode="reg_only";
defparam op2_reged_18__Z.lut_mask="000b";
defparam op2_reged_18__Z.synch_mode="off";
defparam op2_reged_18__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_17__Z (
        .regout(op2_reged[17]),
        .clk(clk_c),
        .dataa(r32_o_16),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_16),
        .datad(b_o_iv_a_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_17__Z.operation_mode="normal";
defparam op2_reged_17__Z.output_mode="reg_only";
defparam op2_reged_17__Z.lut_mask="000b";
defparam op2_reged_17__Z.synch_mode="off";
defparam op2_reged_17__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_16__Z (
        .regout(op2_reged[16]),
        .clk(clk_c),
        .dataa(wb_o_16),
        .datab(b_o_iv_a_16),
        .datac(b_o_1_sqmuxa),
        .datad(BUS7117_i_m_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_16__Z.operation_mode="normal";
defparam op2_reged_16__Z.output_mode="reg_only";
defparam op2_reged_16__Z.lut_mask="0023";
defparam op2_reged_16__Z.synch_mode="off";
defparam op2_reged_16__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_15__Z (
        .regout(op2_reged[15]),
        .clk(clk_c),
        .dataa(r32_o_14),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_14),
        .datad(b_o_iv_a_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_15__Z.operation_mode="normal";
defparam op2_reged_15__Z.output_mode="reg_only";
defparam op2_reged_15__Z.lut_mask="000b";
defparam op2_reged_15__Z.synch_mode="off";
defparam op2_reged_15__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_14__Z (
        .regout(op2_reged[14]),
        .clk(clk_c),
        .dataa(r32_o_13),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_13),
        .datad(b_o_iv_a_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_14__Z.operation_mode="normal";
defparam op2_reged_14__Z.output_mode="reg_only";
defparam op2_reged_14__Z.lut_mask="000b";
defparam op2_reged_14__Z.synch_mode="off";
defparam op2_reged_14__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_13__Z (
        .regout(op2_reged[13]),
        .clk(clk_c),
        .dataa(r32_o_12),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_12),
        .datad(b_o_iv_a_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_13__Z.operation_mode="normal";
defparam op2_reged_13__Z.output_mode="reg_only";
defparam op2_reged_13__Z.lut_mask="000b";
defparam op2_reged_13__Z.synch_mode="off";
defparam op2_reged_13__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_12__Z (
        .regout(op2_reged[12]),
        .clk(clk_c),
        .dataa(r32_o_11),
        .datab(b_o_0_sqmuxa),
        .datac(b_o_iv_0_a5_0_d0),
        .datad(b_o_iv_0_a_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_12__Z.operation_mode="normal";
defparam op2_reged_12__Z.output_mode="reg_only";
defparam op2_reged_12__Z.lut_mask="000b";
defparam op2_reged_12__Z.synch_mode="off";
defparam op2_reged_12__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_11__Z (
        .regout(op2_reged[11]),
        .clk(clk_c),
        .dataa(r32_o_10),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_10),
        .datad(b_o_iv_a_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_11__Z.operation_mode="normal";
defparam op2_reged_11__Z.output_mode="reg_only";
defparam op2_reged_11__Z.lut_mask="000b";
defparam op2_reged_11__Z.synch_mode="off";
defparam op2_reged_11__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_10__Z (
        .regout(op2_reged[10]),
        .clk(clk_c),
        .dataa(r32_o_9),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_9),
        .datad(b_o_iv_a_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_10__Z.operation_mode="normal";
defparam op2_reged_10__Z.output_mode="reg_only";
defparam op2_reged_10__Z.lut_mask="000b";
defparam op2_reged_10__Z.synch_mode="off";
defparam op2_reged_10__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_9__Z (
        .regout(op2_reged[9]),
        .clk(clk_c),
        .dataa(r32_o_8),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_8),
        .datad(b_o_iv_a_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_9__Z.operation_mode="normal";
defparam op2_reged_9__Z.output_mode="reg_only";
defparam op2_reged_9__Z.lut_mask="000b";
defparam op2_reged_9__Z.synch_mode="off";
defparam op2_reged_9__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_8__Z (
        .regout(op2_reged[8]),
        .clk(clk_c),
        .dataa(r32_o_7),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_7),
        .datad(b_o_iv_a_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_8__Z.operation_mode="normal";
defparam op2_reged_8__Z.output_mode="reg_only";
defparam op2_reged_8__Z.lut_mask="000b";
defparam op2_reged_8__Z.synch_mode="off";
defparam op2_reged_8__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_7__Z (
        .regout(op2_reged[7]),
        .clk(clk_c),
        .dataa(r32_o_6),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_6),
        .datad(b_o_iv_a_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_7__Z.operation_mode="normal";
defparam op2_reged_7__Z.output_mode="reg_only";
defparam op2_reged_7__Z.lut_mask="000b";
defparam op2_reged_7__Z.synch_mode="off";
defparam op2_reged_7__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_6__Z (
        .regout(op2_reged[6]),
        .clk(clk_c),
        .dataa(r32_o_5),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_5),
        .datad(b_o_iv_a_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_6__Z.operation_mode="normal";
defparam op2_reged_6__Z.output_mode="reg_only";
defparam op2_reged_6__Z.lut_mask="000b";
defparam op2_reged_6__Z.synch_mode="off";
defparam op2_reged_6__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_5__Z (
        .regout(op2_reged[5]),
        .clk(clk_c),
        .dataa(r32_o_4),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_4),
        .datad(b_o_iv_a_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_5__Z.operation_mode="normal";
defparam op2_reged_5__Z.output_mode="reg_only";
defparam op2_reged_5__Z.lut_mask="000b";
defparam op2_reged_5__Z.synch_mode="off";
defparam op2_reged_5__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_4__Z (
        .regout(op2_reged[4]),
        .clk(clk_c),
        .dataa(wb_o_4),
        .datab(b_o_iv_a_4),
        .datac(b_o_1_sqmuxa),
        .datad(BUS7117_i_m_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_4__Z.operation_mode="normal";
defparam op2_reged_4__Z.output_mode="reg_only";
defparam op2_reged_4__Z.lut_mask="0023";
defparam op2_reged_4__Z.synch_mode="off";
defparam op2_reged_4__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_3__Z (
        .regout(op2_reged[3]),
        .clk(clk_c),
        .dataa(r32_o_2),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_2),
        .datad(b_o_iv_a_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_3__Z.operation_mode="normal";
defparam op2_reged_3__Z.output_mode="reg_only";
defparam op2_reged_3__Z.lut_mask="000b";
defparam op2_reged_3__Z.synch_mode="off";
defparam op2_reged_3__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_2__Z (
        .regout(op2_reged[2]),
        .clk(clk_c),
        .dataa(r32_o_1),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_1),
        .datad(b_o_iv_a_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_2__Z.operation_mode="normal";
defparam op2_reged_2__Z.output_mode="reg_only";
defparam op2_reged_2__Z.lut_mask="000b";
defparam op2_reged_2__Z.synch_mode="off";
defparam op2_reged_2__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_1__Z (
        .regout(op2_reged[1]),
        .clk(clk_c),
        .dataa(r32_o_0),
        .datab(b_o_0_sqmuxa),
        .datac(BUS15471_i_m_0),
        .datad(b_o_iv_a_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_1__Z.operation_mode="normal";
defparam op2_reged_1__Z.output_mode="reg_only";
defparam op2_reged_1__Z.lut_mask="000b";
defparam op2_reged_1__Z.synch_mode="off";
defparam op2_reged_1__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_reged_0__Z (
        .regout(op2_reged[0]),
        .clk(clk_c),
        .dataa(wb_o_0),
        .datab(b_o_iv_a_0),
        .datac(b_o_1_sqmuxa),
        .datad(BUS7117_i_m_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_reged_0__Z.operation_mode="normal";
defparam op2_reged_0__Z.output_mode="reg_only";
defparam op2_reged_0__Z.lut_mask="0023";
defparam op2_reged_0__Z.synch_mode="off";
defparam op2_reged_0__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell rdy_Z (
        .regout(rdy),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(rdy),
        .datac(hilo25),
        .datad(rdy_1_i_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rdy_Z.operation_mode="normal";
defparam rdy_Z.output_mode="reg_only";
defparam rdy_Z.lut_mask="fdf7";
defparam rdy_Z.synch_mode="off";
defparam rdy_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell mul_Z (
        .regout(mul),
        .clk(clk_c),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_1),
        .datad(alu_func_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(mul_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam mul_Z.operation_mode="normal";
defparam mul_Z.output_mode="reg_only";
defparam mul_Z.lut_mask="0100";
defparam mul_Z.synch_mode="off";
defparam mul_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell sign_Z (
        .regout(sign),
        .clk(clk_c),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_3),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(mul_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sign_Z.operation_mode="normal";
defparam sign_Z.output_mode="reg_only";
defparam sign_Z.lut_mask="1000";
defparam sign_Z.synch_mode="off";
defparam sign_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell start_Z (
        .combout(un17_mul_0),
        .regout(start),
        .clk(clk_c),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_3),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(mul_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam start_Z.operation_mode="normal";
defparam start_Z.output_mode="reg_and_comb";
defparam start_Z.lut_mask="1010";
defparam start_Z.synch_mode="off";
defparam start_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op1_sign_reged_Z (
        .combout(un8_op1_sign_reged_0_a2[0]),
        .regout(op1_sign_reged),
        .clk(clk_c),
        .dataa(alu_func_o_0),
        .datab(a_o_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op1_sign_reged_Z.operation_mode="normal";
defparam op1_sign_reged_Z.output_mode="reg_and_comb";
defparam op1_sign_reged_Z.lut_mask="8888";
defparam op1_sign_reged_Z.synch_mode="off";
defparam op1_sign_reged_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op2_sign_reged_Z (
        .combout(op2_reged_3_0_a2[32]),
        .regout(op2_sign_reged),
        .clk(clk_c),
        .dataa(alu_func_o_0),
        .datab(b_o_iv_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(op1_sign_reged_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op2_sign_reged_Z.operation_mode="normal";
defparam op2_sign_reged_Z.output_mode="reg_and_comb";
defparam op2_sign_reged_Z.lut_mask="8888";
defparam op2_sign_reged_Z.synch_mode="off";
defparam op2_sign_reged_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell finish_Z (
        .regout(finish),
        .clk(clk_c),
        .dataa(rdy),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(finish_0_sqmuxa_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam finish_Z.operation_mode="normal";
defparam finish_Z.output_mode="reg_only";
defparam finish_Z.lut_mask="5555";
defparam finish_Z.synch_mode="on";
defparam finish_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell sub_or_yn_Z (
        .regout(sub_or_yn),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(mul),
        .datac(hilo_0),
        .datad(I_159_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(sub_or_yn_0_sqmuxa_1_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sub_or_yn_Z.operation_mode="normal";
defparam sub_or_yn_Z.output_mode="reg_only";
defparam sub_or_yn_Z.lut_mask="a200";
defparam sub_or_yn_Z.synch_mode="off";
defparam sub_or_yn_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell overflow_Z (
        .regout(overflow),
        .clk(clk_c),
        .dataa(overflow),
        .datab(rdy_0_sqmuxa),
        .datac(overflow_4_iv_a),
        .datad(over_i_0[32]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(rst_c),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam overflow_Z.operation_mode="normal";
defparam overflow_Z.output_mode="reg_only";
defparam overflow_Z.lut_mask="f222";
defparam overflow_Z.synch_mode="off";
defparam overflow_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell addnop2_Z (
        .regout(addnop2),
        .clk(clk_c),
        .dataa(mul),
        .datab(un1_mul_3_a),
        .datac(addnop292[0]),
        .datad(addnop290[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(addop2_0_sqmuxa_1_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam addnop2_Z.operation_mode="normal";
defparam addnop2_Z.output_mode="reg_only";
defparam addnop2_Z.lut_mask="5054";
defparam addnop2_Z.synch_mode="off";
defparam addnop2_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell addop2_Z (
        .regout(addop2),
        .clk(clk_c),
        .dataa(mul),
        .datab(un1_mul_2_a),
        .datac(addnop292[0]),
        .datad(addnop290[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(addop2_0_sqmuxa_1_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam addop2_Z.operation_mode="normal";
defparam addop2_Z.output_mode="reg_only";
defparam addop2_Z.lut_mask="5504";
defparam addop2_Z.synch_mode="off";
defparam addop2_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell add1_Z (
        .regout(add1),
        .clk(clk_c),
        .dataa(add1_3_sqmuxa_0_x),
        .datab(op2_sign_reged),
        .datac(add1_14_a),
        .datad(eqnop2_2_NE),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(addop2_0_sqmuxa_1_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam add1_Z.operation_mode="normal";
defparam add1_Z.output_mode="reg_only";
defparam add1_Z.lut_mask="2808";
defparam add1_Z.synch_mode="off";
defparam add1_Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_2__Z (
        .regout(hilo_2),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(hilo_37_iv_0_a[2]),
        .datac(hilo_37_iv_0_0[2]),
        .datad(a_o_2),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_2__Z.operation_mode="normal";
defparam hilo_2__Z.output_mode="reg_only";
defparam hilo_2__Z.lut_mask="fbf3";
defparam hilo_2__Z.synch_mode="on";
defparam hilo_2__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_4__Z (
        .regout(hilo_4),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[4]),
        .datac(hilo_8_Z[4]),
        .datad(hilo_37_iv_a[4]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_4__Z.operation_mode="normal";
defparam hilo_4__Z.output_mode="reg_only";
defparam hilo_4__Z.lut_mask="ecff";
defparam hilo_4__Z.synch_mode="on";
defparam hilo_4__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_10__Z (
        .regout(hilo_10),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(a_o_10),
        .datac(hilo_37_iv_0_a[10]),
        .datad(hilo_37_iv_0_0[10]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_10__Z.operation_mode="normal";
defparam hilo_10__Z.output_mode="reg_only";
defparam hilo_10__Z.lut_mask="ff8f";
defparam hilo_10__Z.synch_mode="on";
defparam hilo_10__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_12__Z (
        .regout(hilo_12),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[12]),
        .datac(hilo_8_Z[12]),
        .datad(hilo_37_iv_a[12]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_12__Z.operation_mode="normal";
defparam hilo_12__Z.output_mode="reg_only";
defparam hilo_12__Z.lut_mask="ecff";
defparam hilo_12__Z.synch_mode="on";
defparam hilo_12__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_19__Z (
        .regout(hilo_19),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_8_Z[19]),
        .datac(hilo_37_iv_0[19]),
        .datad(hilo_37_iv_a[19]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_19__Z.operation_mode="normal";
defparam hilo_19__Z.output_mode="reg_only";
defparam hilo_19__Z.lut_mask="f8ff";
defparam hilo_19__Z.synch_mode="on";
defparam hilo_19__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_21__Z (
        .regout(hilo_21),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(a_o_21),
        .datac(hilo_37_iv_0_a[21]),
        .datad(hilo_37_iv_0_0[21]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_21__Z.operation_mode="normal";
defparam hilo_21__Z.output_mode="reg_only";
defparam hilo_21__Z.lut_mask="ff8f";
defparam hilo_21__Z.synch_mode="on";
defparam hilo_21__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_27__Z (
        .regout(hilo_27),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[27]),
        .datac(hilo_8_Z[27]),
        .datad(hilo_37_iv_a[27]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_27__Z.operation_mode="normal";
defparam hilo_27__Z.output_mode="reg_only";
defparam hilo_27__Z.lut_mask="ecff";
defparam hilo_27__Z.synch_mode="on";
defparam hilo_27__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_29__Z (
        .regout(hilo_29),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(a_o_29),
        .datac(hilo_37_iv_0_a[29]),
        .datad(hilo_37_iv_0_0[29]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_29__Z.operation_mode="normal";
defparam hilo_29__Z.output_mode="reg_only";
defparam hilo_29__Z.lut_mask="ff8f";
defparam hilo_29__Z.synch_mode="on";
defparam hilo_29__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_35__Z (
        .regout(hilo_35),
        .clk(clk_c),
        .dataa(hilo_24_add3),
        .datab(hilo_2_sqmuxa),
        .datac(hilo_37_iv_0_i_o3_5[35]),
        .datad(hilo_37_iv_0_i_a2_a[35]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_35__Z.operation_mode="normal";
defparam hilo_35__Z.output_mode="reg_only";
defparam hilo_35__Z.lut_mask="0b00";
defparam hilo_35__Z.synch_mode="on";
defparam hilo_35__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_37__Z (
        .regout(hilo_37),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_5),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_0_6[37]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37__Z.operation_mode="normal";
defparam hilo_37__Z.output_mode="reg_only";
defparam hilo_37__Z.lut_mask="000d";
defparam hilo_37__Z.synch_mode="on";
defparam hilo_37__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_43__Z (
        .regout(hilo_43),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[43]),
        .datac(hilo_37_iv_2[43]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_43__Z.operation_mode="normal";
defparam hilo_43__Z.output_mode="reg_only";
defparam hilo_43__Z.lut_mask="0007";
defparam hilo_43__Z.synch_mode="on";
defparam hilo_43__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_45__Z (
        .regout(hilo_45),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[45]),
        .datac(hilo_37_iv_2[45]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_45__Z.operation_mode="normal";
defparam hilo_45__Z.output_mode="reg_only";
defparam hilo_45__Z.lut_mask="0007";
defparam hilo_45__Z.synch_mode="on";
defparam hilo_45__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_52__Z (
        .regout(hilo_52),
        .clk(clk_c),
        .dataa(hilo_5_i_m[52]),
        .datab(hilo_37_iv_a[52]),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_2[52]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_52__Z.operation_mode="normal";
defparam hilo_52__Z.output_mode="reg_only";
defparam hilo_52__Z.lut_mask="0001";
defparam hilo_52__Z.synch_mode="on";
defparam hilo_52__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_54__Z (
        .regout(hilo_54),
        .clk(clk_c),
        .dataa(hilo_5_i_m[54]),
        .datab(hilo_37_iv_a[54]),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_2[54]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_54__Z.operation_mode="normal";
defparam hilo_54__Z.output_mode="reg_only";
defparam hilo_54__Z.lut_mask="0001";
defparam hilo_54__Z.synch_mode="on";
defparam hilo_54__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_60__Z (
        .regout(hilo_60),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_28),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_0_6[60]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_60__Z.operation_mode="normal";
defparam hilo_60__Z.output_mode="reg_only";
defparam hilo_60__Z.lut_mask="000d";
defparam hilo_60__Z.synch_mode="on";
defparam hilo_60__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_62__Z (
        .regout(hilo_62),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_30),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_0_6[62]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_62__Z.operation_mode="normal";
defparam hilo_62__Z.output_mode="reg_only";
defparam hilo_62__Z.lut_mask="000d";
defparam hilo_62__Z.synch_mode="on";
defparam hilo_62__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_1__Z (
        .regout(hilo_1),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(hilo_37_iv_0_a[1]),
        .datac(hilo_37_iv_0_0[1]),
        .datad(a_o_1),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_1__Z.operation_mode="normal";
defparam hilo_1__Z.output_mode="reg_only";
defparam hilo_1__Z.lut_mask="fbf3";
defparam hilo_1__Z.synch_mode="on";
defparam hilo_1__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_5__Z (
        .regout(hilo_5),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[5]),
        .datac(hilo_8_Z[5]),
        .datad(hilo_37_iv_a[5]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_5__Z.operation_mode="normal";
defparam hilo_5__Z.output_mode="reg_only";
defparam hilo_5__Z.lut_mask="ecff";
defparam hilo_5__Z.synch_mode="on";
defparam hilo_5__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_9__Z (
        .regout(hilo_9),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(a_o_9),
        .datac(hilo_37_iv_0_a[9]),
        .datad(hilo_37_iv_0_0[9]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_9__Z.operation_mode="normal";
defparam hilo_9__Z.output_mode="reg_only";
defparam hilo_9__Z.lut_mask="ff8f";
defparam hilo_9__Z.synch_mode="on";
defparam hilo_9__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_13__Z (
        .regout(hilo_13),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[13]),
        .datac(hilo_8_Z[13]),
        .datad(hilo_37_iv_a[13]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_13__Z.operation_mode="normal";
defparam hilo_13__Z.output_mode="reg_only";
defparam hilo_13__Z.lut_mask="ecff";
defparam hilo_13__Z.synch_mode="on";
defparam hilo_13__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_18__Z (
        .regout(hilo_18),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_8_Z[18]),
        .datac(hilo_37_iv_0[18]),
        .datad(hilo_37_iv_a[18]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_18__Z.operation_mode="normal";
defparam hilo_18__Z.output_mode="reg_only";
defparam hilo_18__Z.lut_mask="f8ff";
defparam hilo_18__Z.synch_mode="on";
defparam hilo_18__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_22__Z (
        .regout(hilo_22),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[22]),
        .datac(hilo_8_Z[22]),
        .datad(hilo_37_iv_a[22]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22__Z.operation_mode="normal";
defparam hilo_22__Z.output_mode="reg_only";
defparam hilo_22__Z.lut_mask="ecff";
defparam hilo_22__Z.synch_mode="on";
defparam hilo_22__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_26__Z (
        .regout(hilo_26),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(a_o_26),
        .datac(hilo_37_iv_0_a[26]),
        .datad(hilo_37_iv_0_0[26]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_26__Z.operation_mode="normal";
defparam hilo_26__Z.output_mode="reg_only";
defparam hilo_26__Z.lut_mask="ff8f";
defparam hilo_26__Z.synch_mode="on";
defparam hilo_26__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_30__Z (
        .regout(hilo_30),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(a_o_30),
        .datac(hilo_37_iv_0_a[30]),
        .datad(hilo_37_iv_0_0[30]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_30__Z.operation_mode="normal";
defparam hilo_30__Z.output_mode="reg_only";
defparam hilo_30__Z.lut_mask="ff8f";
defparam hilo_30__Z.synch_mode="on";
defparam hilo_30__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_34__Z (
        .regout(hilo_34),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a[34]),
        .datab(hilo_37_iv_0_a3_0[34]),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_0_4[34]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_34__Z.operation_mode="normal";
defparam hilo_34__Z.output_mode="reg_only";
defparam hilo_34__Z.lut_mask="0001";
defparam hilo_34__Z.synch_mode="on";
defparam hilo_34__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_38__Z (
        .regout(hilo_38),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[38]),
        .datac(hilo_37_iv_2[38]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_38__Z.operation_mode="normal";
defparam hilo_38__Z.output_mode="reg_only";
defparam hilo_38__Z.lut_mask="0007";
defparam hilo_38__Z.synch_mode="on";
defparam hilo_38__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_42__Z (
        .regout(hilo_42),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[42]),
        .datac(hilo_37_iv_2[42]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_42__Z.operation_mode="normal";
defparam hilo_42__Z.output_mode="reg_only";
defparam hilo_42__Z.lut_mask="0007";
defparam hilo_42__Z.synch_mode="on";
defparam hilo_42__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_46__Z (
        .regout(hilo_46),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[46]),
        .datac(hilo_37_iv_2[46]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_46__Z.operation_mode="normal";
defparam hilo_46__Z.output_mode="reg_only";
defparam hilo_46__Z.lut_mask="0007";
defparam hilo_46__Z.synch_mode="on";
defparam hilo_46__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_51__Z (
        .regout(hilo_51),
        .clk(clk_c),
        .dataa(hilo_5_i_m[51]),
        .datab(hilo_37_iv_0[51]),
        .datac(hilo_37_iv_a[51]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_51__Z.operation_mode="normal";
defparam hilo_51__Z.output_mode="reg_only";
defparam hilo_51__Z.lut_mask="0001";
defparam hilo_51__Z.synch_mode="on";
defparam hilo_51__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_55__Z (
        .regout(hilo_55),
        .clk(clk_c),
        .dataa(VCC),
        .datab(hilo_37_iv_a[55]),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_4[55]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_55__Z.operation_mode="normal";
defparam hilo_55__Z.output_mode="reg_only";
defparam hilo_55__Z.lut_mask="0003";
defparam hilo_55__Z.synch_mode="on";
defparam hilo_55__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_59__Z (
        .regout(hilo_59),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a[59]),
        .datab(hilo_37_iv_0_3[59]),
        .datac(hilo_37_iv_0_4[59]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_59__Z.operation_mode="normal";
defparam hilo_59__Z.output_mode="reg_only";
defparam hilo_59__Z.lut_mask="0001";
defparam hilo_59__Z.synch_mode="on";
defparam hilo_59__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_63__Z (
        .regout(hilo_63),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_31),
        .datac(hilo_37_iv_0_a[63]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_63__Z.operation_mode="normal";
defparam hilo_63__Z.output_mode="reg_only";
defparam hilo_63__Z.lut_mask="00d0";
defparam hilo_63__Z.synch_mode="on";
defparam hilo_63__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_0__Z (
        .regout(hilo_0),
        .clk(clk_c),
        .dataa(rdy),
        .datab(hilo25),
        .datac(hilo_37_iv_1[0]),
        .datad(hilo_37_iv_a[0]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_0__Z.operation_mode="normal";
defparam hilo_0__Z.output_mode="reg_only";
defparam hilo_0__Z.lut_mask="f0fe";
defparam hilo_0__Z.synch_mode="on";
defparam hilo_0__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_6__Z (
        .regout(hilo_6),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[6]),
        .datac(hilo_8_Z[6]),
        .datad(hilo_37_iv_a[6]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_6__Z.operation_mode="normal";
defparam hilo_6__Z.output_mode="reg_only";
defparam hilo_6__Z.lut_mask="ecff";
defparam hilo_6__Z.synch_mode="on";
defparam hilo_6__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_8__Z (
        .regout(hilo_8),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[8]),
        .datac(hilo_8_Z[8]),
        .datad(hilo_37_iv_a[8]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8__Z.operation_mode="normal";
defparam hilo_8__Z.output_mode="reg_only";
defparam hilo_8__Z.lut_mask="ecff";
defparam hilo_8__Z.synch_mode="on";
defparam hilo_8__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_14__Z (
        .regout(hilo_14),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[14]),
        .datac(hilo_8_Z[14]),
        .datad(hilo_37_iv_a[14]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_14__Z.operation_mode="normal";
defparam hilo_14__Z.output_mode="reg_only";
defparam hilo_14__Z.lut_mask="ecff";
defparam hilo_14__Z.synch_mode="on";
defparam hilo_14__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_17__Z (
        .regout(hilo_17),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(a_o_17),
        .datac(hilo_37_iv_0_a[17]),
        .datad(hilo_37_iv_0_0[17]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_17__Z.operation_mode="normal";
defparam hilo_17__Z.output_mode="reg_only";
defparam hilo_17__Z.lut_mask="ff8f";
defparam hilo_17__Z.synch_mode="on";
defparam hilo_17__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_23__Z (
        .regout(hilo_23),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_8_Z[23]),
        .datac(hilo_37_iv_0[23]),
        .datad(hilo_37_iv_a[23]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_23__Z.operation_mode="normal";
defparam hilo_23__Z.output_mode="reg_only";
defparam hilo_23__Z.lut_mask="f8ff";
defparam hilo_23__Z.synch_mode="on";
defparam hilo_23__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_25__Z (
        .regout(hilo_25),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[25]),
        .datac(hilo_8_Z[25]),
        .datad(hilo_37_iv_a[25]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_25__Z.operation_mode="normal";
defparam hilo_25__Z.output_mode="reg_only";
defparam hilo_25__Z.lut_mask="ecff";
defparam hilo_25__Z.synch_mode="on";
defparam hilo_25__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_31__Z (
        .regout(hilo_31),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_o2[9]),
        .datab(a_o_31),
        .datac(hilo_37_iv_0_a[31]),
        .datad(hilo_37_iv_0_2[31]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_31__Z.operation_mode="normal";
defparam hilo_31__Z.output_mode="reg_only";
defparam hilo_31__Z.lut_mask="ff8f";
defparam hilo_31__Z.synch_mode="on";
defparam hilo_31__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_33__Z (
        .regout(hilo_33),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_1),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_0_6[33]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33__Z.operation_mode="normal";
defparam hilo_33__Z.output_mode="reg_only";
defparam hilo_33__Z.lut_mask="000d";
defparam hilo_33__Z.synch_mode="on";
defparam hilo_33__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_39__Z (
        .regout(hilo_39),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[39]),
        .datac(hilo_37_iv_2[39]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_39__Z.operation_mode="normal";
defparam hilo_39__Z.output_mode="reg_only";
defparam hilo_39__Z.lut_mask="0007";
defparam hilo_39__Z.synch_mode="on";
defparam hilo_39__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_41__Z (
        .regout(hilo_41),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[41]),
        .datac(hilo_37_iv_2[41]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_41__Z.operation_mode="normal";
defparam hilo_41__Z.output_mode="reg_only";
defparam hilo_41__Z.lut_mask="0007";
defparam hilo_41__Z.synch_mode="on";
defparam hilo_41__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_47__Z (
        .regout(hilo_47),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[47]),
        .datac(hilo_37_iv_2[47]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_47__Z.operation_mode="normal";
defparam hilo_47__Z.output_mode="reg_only";
defparam hilo_47__Z.lut_mask="0007";
defparam hilo_47__Z.synch_mode="on";
defparam hilo_47__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_50__Z (
        .regout(hilo_50),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_18),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_5[50]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_50__Z.operation_mode="normal";
defparam hilo_50__Z.output_mode="reg_only";
defparam hilo_50__Z.lut_mask="000d";
defparam hilo_50__Z.synch_mode="on";
defparam hilo_50__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_56__Z (
        .regout(hilo_56),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_24),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_5[56]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_56__Z.operation_mode="normal";
defparam hilo_56__Z.output_mode="reg_only";
defparam hilo_56__Z.lut_mask="000d";
defparam hilo_56__Z.synch_mode="on";
defparam hilo_56__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_58__Z (
        .regout(hilo_58),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_26),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_5[58]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_58__Z.operation_mode="normal";
defparam hilo_58__Z.output_mode="reg_only";
defparam hilo_58__Z.lut_mask="000d";
defparam hilo_58__Z.synch_mode="on";
defparam hilo_58__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_64__Z (
        .regout(hilo_64),
        .clk(clk_c),
        .dataa(sign),
        .datab(hilo_15_3[63]),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_37_iv_i_0_o2_6[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_64__Z.operation_mode="normal";
defparam hilo_64__Z.output_mode="reg_only";
defparam hilo_64__Z.lut_mask="008f";
defparam hilo_64__Z.synch_mode="on";
defparam hilo_64__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_3__Z (
        .regout(hilo_3),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[3]),
        .datac(hilo_8_Z[3]),
        .datad(hilo_37_iv_a[3]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_3__Z.operation_mode="normal";
defparam hilo_3__Z.output_mode="reg_only";
defparam hilo_3__Z.lut_mask="ecff";
defparam hilo_3__Z.synch_mode="on";
defparam hilo_3__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_7__Z (
        .regout(hilo_7),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[7]),
        .datac(hilo_8_Z[7]),
        .datad(hilo_37_iv_a[7]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_7__Z.operation_mode="normal";
defparam hilo_7__Z.output_mode="reg_only";
defparam hilo_7__Z.lut_mask="ecff";
defparam hilo_7__Z.synch_mode="on";
defparam hilo_7__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_11__Z (
        .regout(hilo_11),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[11]),
        .datac(hilo_8_Z[11]),
        .datad(hilo_37_iv_a[11]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_11__Z.operation_mode="normal";
defparam hilo_11__Z.output_mode="reg_only";
defparam hilo_11__Z.lut_mask="ecff";
defparam hilo_11__Z.synch_mode="on";
defparam hilo_11__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_15__Z (
        .regout(hilo_15),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[15]),
        .datac(hilo_8_Z[15]),
        .datad(hilo_37_iv_a[15]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15__Z.operation_mode="normal";
defparam hilo_15__Z.output_mode="reg_only";
defparam hilo_15__Z.lut_mask="ecff";
defparam hilo_15__Z.synch_mode="on";
defparam hilo_15__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_16__Z (
        .regout(hilo_16),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_8_Z[16]),
        .datac(hilo_37_iv_0_0[16]),
        .datad(hilo_37_iv_0_a[16]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_16__Z.operation_mode="normal";
defparam hilo_16__Z.output_mode="reg_only";
defparam hilo_16__Z.lut_mask="f8ff";
defparam hilo_16__Z.synch_mode="on";
defparam hilo_16__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_20__Z (
        .regout(hilo_20),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[20]),
        .datac(hilo_8_Z[20]),
        .datad(hilo_37_iv_a[20]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_20__Z.operation_mode="normal";
defparam hilo_20__Z.output_mode="reg_only";
defparam hilo_20__Z.lut_mask="ecff";
defparam hilo_20__Z.synch_mode="on";
defparam hilo_20__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_24__Z (
        .regout(hilo_24),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[24]),
        .datac(hilo_8_Z[24]),
        .datad(hilo_37_iv_a[24]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24__Z.operation_mode="normal";
defparam hilo_24__Z.output_mode="reg_only";
defparam hilo_24__Z.lut_mask="ecff";
defparam hilo_24__Z.synch_mode="on";
defparam hilo_24__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_28__Z (
        .regout(hilo_28),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[28]),
        .datac(hilo_8_Z[28]),
        .datad(hilo_37_iv_a[28]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_28__Z.operation_mode="normal";
defparam hilo_28__Z.output_mode="reg_only";
defparam hilo_28__Z.lut_mask="ecff";
defparam hilo_28__Z.synch_mode="on";
defparam hilo_28__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_32__Z (
        .regout(hilo_32),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_2[32]),
        .datac(hilo_37_iv_a[32]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_32__Z.operation_mode="normal";
defparam hilo_32__Z.output_mode="reg_only";
defparam hilo_32__Z.lut_mask="0013";
defparam hilo_32__Z.synch_mode="on";
defparam hilo_32__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_36__Z (
        .regout(hilo_36),
        .clk(clk_c),
        .dataa(un50_hilo_add5),
        .datab(hilo_37_iv_0_a2_1_a2[35]),
        .datac(hilo_37_iv_0_5[36]),
        .datad(hilo_37_iv_0_a[36]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_36__Z.operation_mode="normal";
defparam hilo_36__Z.output_mode="reg_only";
defparam hilo_36__Z.lut_mask="0b00";
defparam hilo_36__Z.synch_mode="on";
defparam hilo_36__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_40__Z (
        .regout(hilo_40),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[40]),
        .datac(hilo_37_iv_2[40]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_40__Z.operation_mode="normal";
defparam hilo_40__Z.output_mode="reg_only";
defparam hilo_40__Z.lut_mask="0007";
defparam hilo_40__Z.synch_mode="on";
defparam hilo_40__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_44__Z (
        .regout(hilo_44),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[44]),
        .datac(hilo_37_iv_2[44]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_44__Z.operation_mode="normal";
defparam hilo_44__Z.output_mode="reg_only";
defparam hilo_44__Z.lut_mask="0007";
defparam hilo_44__Z.synch_mode="on";
defparam hilo_44__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_48__Z (
        .regout(hilo_48),
        .clk(clk_c),
        .dataa(hilo_24_add16),
        .datab(hilo_2_sqmuxa),
        .datac(hilo_37_iv_a[48]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_48__Z.operation_mode="normal";
defparam hilo_48__Z.output_mode="reg_only";
defparam hilo_48__Z.lut_mask="00b0";
defparam hilo_48__Z.synch_mode="on";
defparam hilo_48__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_49__Z (
        .regout(hilo_49),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_17),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_5[49]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_49__Z.operation_mode="normal";
defparam hilo_49__Z.output_mode="reg_only";
defparam hilo_49__Z.lut_mask="000d";
defparam hilo_49__Z.synch_mode="on";
defparam hilo_49__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_53__Z (
        .regout(hilo_53),
        .clk(clk_c),
        .dataa(hilo25),
        .datab(hilo_37_iv_a[53]),
        .datac(hilo_37_iv_2[53]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_53__Z.operation_mode="normal";
defparam hilo_53__Z.output_mode="reg_only";
defparam hilo_53__Z.lut_mask="0007";
defparam hilo_53__Z.synch_mode="on";
defparam hilo_53__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_57__Z (
        .regout(hilo_57),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(a_o_25),
        .datac(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .datad(hilo_37_iv_5[57]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_57__Z.operation_mode="normal";
defparam hilo_57__Z.output_mode="reg_only";
defparam hilo_57__Z.lut_mask="000d";
defparam hilo_57__Z.synch_mode="on";
defparam hilo_57__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_61__Z (
        .regout(hilo_61),
        .clk(clk_c),
        .dataa(hilo_37_iv_0_a[61]),
        .datab(hilo_37_iv_0_3[61]),
        .datac(hilo_37_iv_0_4[61]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_230),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_61__Z.operation_mode="normal";
defparam hilo_61__Z.output_mode="reg_only";
defparam hilo_61__Z.lut_mask="0001";
defparam hilo_61__Z.synch_mode="on";
defparam hilo_61__Z.sum_lutc_input="datac";
// @5:581
  cyclone_lcell op1_sign_reged_0_sqmuxa_x (
        .combout(op1_sign_reged_0_sqmuxa_i),
        .dataa(rst_c),
        .datab(rdy_0_sqmuxa),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam op1_sign_reged_0_sqmuxa_x.operation_mode="normal";
defparam op1_sign_reged_0_sqmuxa_x.output_mode="comb_only";
defparam op1_sign_reged_0_sqmuxa_x.lut_mask="dddd";
defparam op1_sign_reged_0_sqmuxa_x.synch_mode="off";
defparam op1_sign_reged_0_sqmuxa_x.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_1_sqmuxa_x (
        .combout(hilo_1_sqmuxa_i),
        .dataa(rst_c),
        .datab(rdy_0_sqmuxa),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_1_sqmuxa_x.operation_mode="normal";
defparam hilo_1_sqmuxa_x.output_mode="comb_only";
defparam hilo_1_sqmuxa_x.lut_mask="7777";
defparam hilo_1_sqmuxa_x.synch_mode="off";
defparam hilo_1_sqmuxa_x.sum_lutc_input="datac";
// @5:581
  cyclone_lcell add1_3_sqmuxa_0_x_cZ (
        .combout(add1_3_sqmuxa_0_x),
        .dataa(rst_c),
        .datab(mul),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam add1_3_sqmuxa_0_x_cZ.operation_mode="normal";
defparam add1_3_sqmuxa_0_x_cZ.output_mode="comb_only";
defparam add1_3_sqmuxa_0_x_cZ.lut_mask="2222";
defparam add1_3_sqmuxa_0_x_cZ.synch_mode="off";
defparam add1_3_sqmuxa_0_x_cZ.sum_lutc_input="datac";
// @5:581
  cyclone_lcell mul_0_sqmuxa_x (
        .combout(mul_0_sqmuxa_i),
        .dataa(rst_c),
        .datab(rdy),
        .datac(hilo25),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam mul_0_sqmuxa_x.operation_mode="normal";
defparam mul_0_sqmuxa_x.output_mode="comb_only";
defparam mul_0_sqmuxa_x.lut_mask="5d5d";
defparam mul_0_sqmuxa_x.synch_mode="off";
defparam mul_0_sqmuxa_x.sum_lutc_input="datac";
// @5:581
  cyclone_lcell sub_or_yn_0_sqmuxa_1_x (
        .combout(sub_or_yn_0_sqmuxa_1_i),
        .dataa(rst_c),
        .datab(sub_or_yn_0_sqmuxa_1_a),
        .datac(hilo25),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sub_or_yn_0_sqmuxa_1_x.operation_mode="normal";
defparam sub_or_yn_0_sqmuxa_1_x.output_mode="comb_only";
defparam sub_or_yn_0_sqmuxa_1_x.lut_mask="5757";
defparam sub_or_yn_0_sqmuxa_1_x.synch_mode="off";
defparam sub_or_yn_0_sqmuxa_1_x.sum_lutc_input="datac";
// @5:670
  cyclone_lcell un1_mul_2_a_cZ (
        .combout(un1_mul_2_a),
        .dataa(op1_sign_reged),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(eqz_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mul_2_a_cZ.operation_mode="normal";
defparam un1_mul_2_a_cZ.output_mode="comb_only";
defparam un1_mul_2_a_cZ.lut_mask="0424";
defparam un1_mul_2_a_cZ.synch_mode="off";
defparam un1_mul_2_a_cZ.sum_lutc_input="datac";
// @5:670
  cyclone_lcell un1_mul_3_a_cZ (
        .combout(un1_mul_3_a),
        .dataa(op1_sign_reged),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(eqz_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mul_3_a_cZ.operation_mode="normal";
defparam un1_mul_3_a_cZ.output_mode="comb_only";
defparam un1_mul_3_a_cZ.lut_mask="4042";
defparam un1_mul_3_a_cZ.synch_mode="off";
defparam un1_mul_3_a_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell add1_14_a_cZ (
        .combout(add1_14_a),
        .dataa(op1_sign_reged),
        .datab(op2_sign_reged),
        .datac(eqz_2),
        .datad(eqop2_2_NE),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam add1_14_a_cZ.operation_mode="normal";
defparam add1_14_a_cZ.output_mode="comb_only";
defparam add1_14_a_cZ.lut_mask="0a02";
defparam add1_14_a_cZ.synch_mode="off";
defparam add1_14_a_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_36_ (
        .combout(hilo_37_iv_0_a[36]),
        .dataa(alu_func_o_0),
        .datab(hilo25),
        .datac(a_o_4),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_36_.operation_mode="normal";
defparam hilo_37_iv_0_a_36_.output_mode="comb_only";
defparam hilo_37_iv_0_a_36_.lut_mask="00f7";
defparam hilo_37_iv_0_a_36_.synch_mode="off";
defparam hilo_37_iv_0_a_36_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_34_ (
        .combout(hilo_37_iv_0_a[34]),
        .dataa(un50_hilo_add3),
        .datab(hilo_24_add2),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_34_.operation_mode="normal";
defparam hilo_37_iv_0_a_34_.output_mode="comb_only";
defparam hilo_37_iv_0_a_34_.lut_mask="7530";
defparam hilo_37_iv_0_a_34_.synch_mode="off";
defparam hilo_37_iv_0_a_34_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_i_a2_a_35_ (
        .combout(hilo_37_iv_0_i_a2_a[35]),
        .dataa(alu_func_o_0),
        .datab(hilo25),
        .datac(a_o_3),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_i_a2_a_35_.operation_mode="normal";
defparam hilo_37_iv_0_i_a2_a_35_.output_mode="comb_only";
defparam hilo_37_iv_0_i_a2_a_35_.lut_mask="00f7";
defparam hilo_37_iv_0_i_a2_a_35_.synch_mode="off";
defparam hilo_37_iv_0_i_a2_a_35_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_61_ (
        .combout(hilo_37_iv_0_a[61]),
        .dataa(hilo_24_add29),
        .datab(hilo_37_iv_0_a2_1_0_a2[29]),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_61_.operation_mode="normal";
defparam hilo_37_iv_0_a_61_.output_mode="comb_only";
defparam hilo_37_iv_0_a_61_.lut_mask="50dc";
defparam hilo_37_iv_0_a_61_.synch_mode="off";
defparam hilo_37_iv_0_a_61_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_59_ (
        .combout(hilo_37_iv_0_a[59]),
        .dataa(hilo_24_add27),
        .datab(hilo_37_iv_0_a2_1_0_a2[29]),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_59_.operation_mode="normal";
defparam hilo_37_iv_0_a_59_.output_mode="comb_only";
defparam hilo_37_iv_0_a_59_.lut_mask="50dc";
defparam hilo_37_iv_0_a_59_.synch_mode="off";
defparam hilo_37_iv_0_a_59_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_60_ (
        .combout(hilo_37_iv_0_6[60]),
        .dataa(hilo_61),
        .datab(hilo_37_iv_0_a3_0_1[59]),
        .datac(hilo_37_iv_0_6_a[60]),
        .datad(hilo_37_iv_0_3[60]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_60_.operation_mode="normal";
defparam hilo_37_iv_0_6_60_.output_mode="comb_only";
defparam hilo_37_iv_0_6_60_.lut_mask="fff4";
defparam hilo_37_iv_0_6_60_.synch_mode="off";
defparam hilo_37_iv_0_6_60_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_a_60_ (
        .combout(hilo_37_iv_0_6_a[60]),
        .dataa(un50_hilo_add29),
        .datab(hilo_24_add28),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_a_60_.operation_mode="normal";
defparam hilo_37_iv_0_6_a_60_.output_mode="comb_only";
defparam hilo_37_iv_0_6_a_60_.lut_mask="7530";
defparam hilo_37_iv_0_6_a_60_.synch_mode="off";
defparam hilo_37_iv_0_6_a_60_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_37_ (
        .combout(hilo_37_iv_0_6[37]),
        .dataa(hilo_38),
        .datab(hilo_37_iv_0_a3_0_1[59]),
        .datac(hilo_37_iv_0_6_a[37]),
        .datad(hilo_37_iv_0_3[37]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_37_.operation_mode="normal";
defparam hilo_37_iv_0_6_37_.output_mode="comb_only";
defparam hilo_37_iv_0_6_37_.lut_mask="fff4";
defparam hilo_37_iv_0_6_37_.synch_mode="off";
defparam hilo_37_iv_0_6_37_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_a_37_ (
        .combout(hilo_37_iv_0_6_a[37]),
        .dataa(un50_hilo_add6),
        .datab(hilo_24_add5),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_a_37_.operation_mode="normal";
defparam hilo_37_iv_0_6_a_37_.output_mode="comb_only";
defparam hilo_37_iv_0_6_a_37_.lut_mask="7530";
defparam hilo_37_iv_0_6_a_37_.synch_mode="off";
defparam hilo_37_iv_0_6_a_37_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_33_ (
        .combout(hilo_37_iv_0_6[33]),
        .dataa(hilo_34),
        .datab(hilo_37_iv_0_a3_0_1[59]),
        .datac(hilo_37_iv_0_6_a[33]),
        .datad(hilo_37_iv_0_3[33]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_33_.operation_mode="normal";
defparam hilo_37_iv_0_6_33_.output_mode="comb_only";
defparam hilo_37_iv_0_6_33_.lut_mask="fff4";
defparam hilo_37_iv_0_6_33_.synch_mode="off";
defparam hilo_37_iv_0_6_33_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_a_33_ (
        .combout(hilo_37_iv_0_6_a[33]),
        .dataa(un50_hilo_add2),
        .datab(hilo_24_add1),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_a_33_.operation_mode="normal";
defparam hilo_37_iv_0_6_a_33_.output_mode="comb_only";
defparam hilo_37_iv_0_6_a_33_.lut_mask="7530";
defparam hilo_37_iv_0_6_a_33_.synch_mode="off";
defparam hilo_37_iv_0_6_a_33_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_62_ (
        .combout(hilo_37_iv_0_6[62]),
        .dataa(hilo_63),
        .datab(hilo_37_iv_0_a3_0_1[59]),
        .datac(hilo_37_iv_0_6_a[62]),
        .datad(hilo_37_iv_0_3[62]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_62_.operation_mode="normal";
defparam hilo_37_iv_0_6_62_.output_mode="comb_only";
defparam hilo_37_iv_0_6_62_.lut_mask="fff4";
defparam hilo_37_iv_0_6_62_.synch_mode="off";
defparam hilo_37_iv_0_6_62_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_a_62_ (
        .combout(hilo_37_iv_0_6_a[62]),
        .dataa(un50_hilo_add31),
        .datab(hilo_24_add30),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_a_62_.operation_mode="normal";
defparam hilo_37_iv_0_6_a_62_.output_mode="comb_only";
defparam hilo_37_iv_0_6_a_62_.lut_mask="7530";
defparam hilo_37_iv_0_6_a_62_.synch_mode="off";
defparam hilo_37_iv_0_6_a_62_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_54_ (
        .combout(hilo_37_iv_a[54]),
        .dataa(un50_hilo_add23),
        .datab(hilo_24_add22),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_54_.operation_mode="normal";
defparam hilo_37_iv_a_54_.output_mode="comb_only";
defparam hilo_37_iv_a_54_.lut_mask="7530";
defparam hilo_37_iv_a_54_.synch_mode="off";
defparam hilo_37_iv_a_54_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_55_ (
        .combout(hilo_37_iv_a[55]),
        .dataa(un50_hilo_add24),
        .datab(hilo_24_add23),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_55_.operation_mode="normal";
defparam hilo_37_iv_a_55_.output_mode="comb_only";
defparam hilo_37_iv_a_55_.lut_mask="7530";
defparam hilo_37_iv_a_55_.synch_mode="off";
defparam hilo_37_iv_a_55_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_52_ (
        .combout(hilo_37_iv_a[52]),
        .dataa(un50_hilo_add21),
        .datab(hilo_24_add20),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_52_.operation_mode="normal";
defparam hilo_37_iv_a_52_.output_mode="comb_only";
defparam hilo_37_iv_a_52_.lut_mask="7530";
defparam hilo_37_iv_a_52_.synch_mode="off";
defparam hilo_37_iv_a_52_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_48_ (
        .combout(hilo_37_iv_a[48]),
        .dataa(hilo25),
        .datab(hilo_37_iv_0[48]),
        .datac(hilo_5_Z[48]),
        .datad(hilo_22_i_m[48]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_48_.operation_mode="normal";
defparam hilo_37_iv_a_48_.output_mode="comb_only";
defparam hilo_37_iv_a_48_.lut_mask="0031";
defparam hilo_37_iv_a_48_.synch_mode="off";
defparam hilo_37_iv_a_48_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_63_ (
        .combout(hilo_37_iv_0_a[63]),
        .dataa(hilo_24_add31),
        .datab(hilo_2_sqmuxa),
        .datac(hilo_37_iv_0_a2[63]),
        .datad(hilo_37_iv_0_2[63]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_63_.operation_mode="normal";
defparam hilo_37_iv_0_a_63_.output_mode="comb_only";
defparam hilo_37_iv_0_a_63_.lut_mask="000b";
defparam hilo_37_iv_0_a_63_.synch_mode="off";
defparam hilo_37_iv_0_a_63_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_5_36_ (
        .combout(hilo_37_iv_0_5[36]),
        .dataa(un59_hilo_add5),
        .datab(hilo_37_iv_0_2[36]),
        .datac(hilo_37_iv_0_a3_1_0[59]),
        .datad(hilo_37_iv_0_5_a[36]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_5_36_.operation_mode="normal";
defparam hilo_37_iv_0_5_36_.output_mode="comb_only";
defparam hilo_37_iv_0_5_36_.lut_mask="ffdc";
defparam hilo_37_iv_0_5_36_.synch_mode="off";
defparam hilo_37_iv_0_5_36_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_5_a_36_ (
        .combout(hilo_37_iv_0_5_a[36]),
        .dataa(hilo_37),
        .datab(hilo_24_add4),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_5_a_36_.operation_mode="normal";
defparam hilo_37_iv_0_5_a_36_.output_mode="comb_only";
defparam hilo_37_iv_0_5_a_36_.lut_mask="7530";
defparam hilo_37_iv_0_5_a_36_.synch_mode="off";
defparam hilo_37_iv_0_5_a_36_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_i_o3_5_35_ (
        .combout(hilo_37_iv_0_i_o3_5[35]),
        .dataa(un59_hilo_add4),
        .datab(hilo_37_iv_0_i_o3_2[35]),
        .datac(hilo_37_iv_0_a3_1_0[59]),
        .datad(hilo_37_iv_0_i_o3_5_a[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_i_o3_5_35_.operation_mode="normal";
defparam hilo_37_iv_0_i_o3_5_35_.output_mode="comb_only";
defparam hilo_37_iv_0_i_o3_5_35_.lut_mask="ffdc";
defparam hilo_37_iv_0_i_o3_5_35_.synch_mode="off";
defparam hilo_37_iv_0_i_o3_5_35_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_i_o3_5_a_35_ (
        .combout(hilo_37_iv_0_i_o3_5_a[35]),
        .dataa(hilo_36),
        .datab(un50_hilo_add4),
        .datac(hilo_37_iv_0_a2_1_a2[35]),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_i_o3_5_a_35_.operation_mode="normal";
defparam hilo_37_iv_0_i_o3_5_a_35_.output_mode="comb_only";
defparam hilo_37_iv_0_i_o3_5_a_35_.lut_mask="7530";
defparam hilo_37_iv_0_i_o3_5_a_35_.synch_mode="off";
defparam hilo_37_iv_0_i_o3_5_a_35_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_31_ (
        .combout(hilo_37_iv_0_a[31]),
        .dataa(hilo_30),
        .datab(un50_hilo_add0),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_31_.operation_mode="normal";
defparam hilo_37_iv_0_a_31_.output_mode="comb_only";
defparam hilo_37_iv_0_a_31_.lut_mask="135f";
defparam hilo_37_iv_0_a_31_.synch_mode="off";
defparam hilo_37_iv_0_a_31_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_4_34_ (
        .combout(hilo_37_iv_0_4[34]),
        .dataa(un59_hilo_add3),
        .datab(hilo_37_iv_0_1[34]),
        .datac(hilo_37_iv_0_a3_1_0[59]),
        .datad(hilo_37_iv_0_4_a[34]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_4_34_.operation_mode="normal";
defparam hilo_37_iv_0_4_34_.output_mode="comb_only";
defparam hilo_37_iv_0_4_34_.lut_mask="ffdc";
defparam hilo_37_iv_0_4_34_.synch_mode="off";
defparam hilo_37_iv_0_4_34_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_4_a_34_ (
        .combout(hilo_37_iv_0_4_a[34]),
        .dataa(hilo_34),
        .datab(hilo_35),
        .datac(hilo_37_iv_0_o3_0_o2[34]),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_4_a_34_.operation_mode="normal";
defparam hilo_37_iv_0_4_a_34_.output_mode="comb_only";
defparam hilo_37_iv_0_4_a_34_.lut_mask="7350";
defparam hilo_37_iv_0_4_a_34_.synch_mode="off";
defparam hilo_37_iv_0_4_a_34_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_49_ (
        .combout(hilo_37_iv_5[49]),
        .dataa(hilo_50),
        .datab(hilo_37_iv_0_a3_0_1[59]),
        .datac(hilo_37_iv_5_a[49]),
        .datad(hilo_37_iv_2[49]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_49_.operation_mode="normal";
defparam hilo_37_iv_5_49_.output_mode="comb_only";
defparam hilo_37_iv_5_49_.lut_mask="fff4";
defparam hilo_37_iv_5_49_.synch_mode="off";
defparam hilo_37_iv_5_49_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_a_49_ (
        .combout(hilo_37_iv_5_a[49]),
        .dataa(un50_hilo_add18),
        .datab(hilo_24_add17),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_a_49_.operation_mode="normal";
defparam hilo_37_iv_5_a_49_.output_mode="comb_only";
defparam hilo_37_iv_5_a_49_.lut_mask="7530";
defparam hilo_37_iv_5_a_49_.synch_mode="off";
defparam hilo_37_iv_5_a_49_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_57_ (
        .combout(hilo_37_iv_5[57]),
        .dataa(un59_hilo_add26),
        .datab(hilo_37_iv_0_a3_1_0[59]),
        .datac(hilo_37_iv_5_a[57]),
        .datad(hilo_37_iv_2[57]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_57_.operation_mode="normal";
defparam hilo_37_iv_5_57_.output_mode="comb_only";
defparam hilo_37_iv_5_57_.lut_mask="fff4";
defparam hilo_37_iv_5_57_.synch_mode="off";
defparam hilo_37_iv_5_57_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_a_57_ (
        .combout(hilo_37_iv_5_a[57]),
        .dataa(un50_hilo_add26),
        .datab(hilo_24_add25),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_a_57_.operation_mode="normal";
defparam hilo_37_iv_5_a_57_.output_mode="comb_only";
defparam hilo_37_iv_5_a_57_.lut_mask="7530";
defparam hilo_37_iv_5_a_57_.synch_mode="off";
defparam hilo_37_iv_5_a_57_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_50_ (
        .combout(hilo_37_iv_5[50]),
        .dataa(un59_hilo_add19),
        .datab(hilo_37_iv_0_a3_1_0[59]),
        .datac(hilo_37_iv_5_a[50]),
        .datad(hilo_37_iv_2[50]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_50_.operation_mode="normal";
defparam hilo_37_iv_5_50_.output_mode="comb_only";
defparam hilo_37_iv_5_50_.lut_mask="fff4";
defparam hilo_37_iv_5_50_.synch_mode="off";
defparam hilo_37_iv_5_50_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_a_50_ (
        .combout(hilo_37_iv_5_a[50]),
        .dataa(un50_hilo_add19),
        .datab(hilo_24_add18),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_a_50_.operation_mode="normal";
defparam hilo_37_iv_5_a_50_.output_mode="comb_only";
defparam hilo_37_iv_5_a_50_.lut_mask="7530";
defparam hilo_37_iv_5_a_50_.synch_mode="off";
defparam hilo_37_iv_5_a_50_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_56_ (
        .combout(hilo_37_iv_5[56]),
        .dataa(un59_hilo_add25),
        .datab(hilo_37_iv_0_a3_1_0[59]),
        .datac(hilo_37_iv_5_a[56]),
        .datad(hilo_37_iv_2[56]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_56_.operation_mode="normal";
defparam hilo_37_iv_5_56_.output_mode="comb_only";
defparam hilo_37_iv_5_56_.lut_mask="fff4";
defparam hilo_37_iv_5_56_.synch_mode="off";
defparam hilo_37_iv_5_56_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_a_56_ (
        .combout(hilo_37_iv_5_a[56]),
        .dataa(un50_hilo_add25),
        .datab(hilo_24_add24),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_a_56_.operation_mode="normal";
defparam hilo_37_iv_5_a_56_.output_mode="comb_only";
defparam hilo_37_iv_5_a_56_.lut_mask="7530";
defparam hilo_37_iv_5_a_56_.synch_mode="off";
defparam hilo_37_iv_5_a_56_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_58_ (
        .combout(hilo_37_iv_5[58]),
        .dataa(hilo_59),
        .datab(hilo_37_iv_0_a3_0_1[59]),
        .datac(hilo_37_iv_5_a[58]),
        .datad(hilo_37_iv_2[58]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_58_.operation_mode="normal";
defparam hilo_37_iv_5_58_.output_mode="comb_only";
defparam hilo_37_iv_5_58_.lut_mask="fff4";
defparam hilo_37_iv_5_58_.synch_mode="off";
defparam hilo_37_iv_5_58_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_5_a_58_ (
        .combout(hilo_37_iv_5_a[58]),
        .dataa(un50_hilo_add27),
        .datab(hilo_24_add26),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0_a2_1_a2[35]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_5_a_58_.operation_mode="normal";
defparam hilo_37_iv_5_a_58_.output_mode="comb_only";
defparam hilo_37_iv_5_a_58_.lut_mask="7530";
defparam hilo_37_iv_5_a_58_.synch_mode="off";
defparam hilo_37_iv_5_a_58_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_53_ (
        .combout(hilo_37_iv_a[53]),
        .dataa(VCC),
        .datab(hilo_53),
        .datac(alu_func_o_0),
        .datad(a_o_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_53_.operation_mode="normal";
defparam hilo_37_iv_a_53_.output_mode="comb_only";
defparam hilo_37_iv_a_53_.lut_mask="03f3";
defparam hilo_37_iv_a_53_.synch_mode="off";
defparam hilo_37_iv_a_53_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_41_ (
        .combout(hilo_37_iv_a[41]),
        .dataa(VCC),
        .datab(hilo_41),
        .datac(alu_func_o_0),
        .datad(a_o_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_41_.operation_mode="normal";
defparam hilo_37_iv_a_41_.output_mode="comb_only";
defparam hilo_37_iv_a_41_.lut_mask="03f3";
defparam hilo_37_iv_a_41_.synch_mode="off";
defparam hilo_37_iv_a_41_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_39_ (
        .combout(hilo_37_iv_a[39]),
        .dataa(VCC),
        .datab(hilo_39),
        .datac(alu_func_o_0),
        .datad(a_o_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_39_.operation_mode="normal";
defparam hilo_37_iv_a_39_.output_mode="comb_only";
defparam hilo_37_iv_a_39_.lut_mask="03f3";
defparam hilo_37_iv_a_39_.synch_mode="off";
defparam hilo_37_iv_a_39_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_38_ (
        .combout(hilo_37_iv_a[38]),
        .dataa(VCC),
        .datab(hilo_38),
        .datac(alu_func_o_0),
        .datad(a_o_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_38_.operation_mode="normal";
defparam hilo_37_iv_a_38_.output_mode="comb_only";
defparam hilo_37_iv_a_38_.lut_mask="03f3";
defparam hilo_37_iv_a_38_.synch_mode="off";
defparam hilo_37_iv_a_38_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_51_ (
        .combout(hilo_37_iv_a[51]),
        .dataa(hilo_22_Z[51]),
        .datab(hilo_24_add19),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_51_.operation_mode="normal";
defparam hilo_37_iv_a_51_.output_mode="comb_only";
defparam hilo_37_iv_a_51_.lut_mask="7350";
defparam hilo_37_iv_a_51_.synch_mode="off";
defparam hilo_37_iv_a_51_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_47_ (
        .combout(hilo_37_iv_a[47]),
        .dataa(VCC),
        .datab(hilo_47),
        .datac(alu_func_o_0),
        .datad(a_o_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_47_.operation_mode="normal";
defparam hilo_37_iv_a_47_.output_mode="comb_only";
defparam hilo_37_iv_a_47_.lut_mask="03f3";
defparam hilo_37_iv_a_47_.synch_mode="off";
defparam hilo_37_iv_a_47_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_45_ (
        .combout(hilo_37_iv_a[45]),
        .dataa(VCC),
        .datab(hilo_45),
        .datac(alu_func_o_0),
        .datad(a_o_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_45_.operation_mode="normal";
defparam hilo_37_iv_a_45_.output_mode="comb_only";
defparam hilo_37_iv_a_45_.lut_mask="03f3";
defparam hilo_37_iv_a_45_.synch_mode="off";
defparam hilo_37_iv_a_45_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_46_ (
        .combout(hilo_37_iv_a[46]),
        .dataa(VCC),
        .datab(hilo_46),
        .datac(alu_func_o_0),
        .datad(a_o_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_46_.operation_mode="normal";
defparam hilo_37_iv_a_46_.output_mode="comb_only";
defparam hilo_37_iv_a_46_.lut_mask="03f3";
defparam hilo_37_iv_a_46_.synch_mode="off";
defparam hilo_37_iv_a_46_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_44_ (
        .combout(hilo_37_iv_a[44]),
        .dataa(VCC),
        .datab(hilo_44),
        .datac(alu_func_o_0),
        .datad(a_o_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_44_.operation_mode="normal";
defparam hilo_37_iv_a_44_.output_mode="comb_only";
defparam hilo_37_iv_a_44_.lut_mask="03f3";
defparam hilo_37_iv_a_44_.synch_mode="off";
defparam hilo_37_iv_a_44_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_43_ (
        .combout(hilo_37_iv_a[43]),
        .dataa(VCC),
        .datab(hilo_43),
        .datac(alu_func_o_0),
        .datad(a_o_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_43_.operation_mode="normal";
defparam hilo_37_iv_a_43_.output_mode="comb_only";
defparam hilo_37_iv_a_43_.lut_mask="03f3";
defparam hilo_37_iv_a_43_.synch_mode="off";
defparam hilo_37_iv_a_43_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_42_ (
        .combout(hilo_37_iv_a[42]),
        .dataa(VCC),
        .datab(hilo_42),
        .datac(alu_func_o_0),
        .datad(a_o_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_42_.operation_mode="normal";
defparam hilo_37_iv_a_42_.output_mode="comb_only";
defparam hilo_37_iv_a_42_.lut_mask="03f3";
defparam hilo_37_iv_a_42_.synch_mode="off";
defparam hilo_37_iv_a_42_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_40_ (
        .combout(hilo_37_iv_a[40]),
        .dataa(VCC),
        .datab(hilo_40),
        .datac(alu_func_o_0),
        .datad(a_o_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_40_.operation_mode="normal";
defparam hilo_37_iv_a_40_.output_mode="comb_only";
defparam hilo_37_iv_a_40_.lut_mask="03f3";
defparam hilo_37_iv_a_40_.synch_mode="off";
defparam hilo_37_iv_a_40_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_32_ (
        .combout(hilo_37_iv_a[32]),
        .dataa(VCC),
        .datab(hilo_32),
        .datac(alu_func_o_0),
        .datad(a_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_32_.operation_mode="normal";
defparam hilo_37_iv_a_32_.output_mode="comb_only";
defparam hilo_37_iv_a_32_.lut_mask="03f3";
defparam hilo_37_iv_a_32_.synch_mode="off";
defparam hilo_37_iv_a_32_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_i_0_o2_6_64_ (
        .combout(hilo_37_iv_i_0_o2_6[64]),
        .dataa(hilo_37_iv_0_a2_1_0_a2[29]),
        .datab(hilo_37_iv_i_0_o2_6_1[64]),
        .datac(hilo_37_iv_i_0_o2_6_a[64]),
        .datad(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_i_0_o2_6_64_.operation_mode="normal";
defparam hilo_37_iv_i_0_o2_6_64_.output_mode="comb_only";
defparam hilo_37_iv_i_0_o2_6_64_.lut_mask="fffe";
defparam hilo_37_iv_i_0_o2_6_64_.synch_mode="off";
defparam hilo_37_iv_i_0_o2_6_64_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_i_0_o2_6_a_64_ (
        .combout(hilo_37_iv_i_0_o2_6_a[64]),
        .dataa(un50_hilo_add32),
        .datab(hilo_24_add32),
        .datac(hilo_37_iv_i_0_a3_2_0_a2[64]),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_i_0_o2_6_a_64_.operation_mode="normal";
defparam hilo_37_iv_i_0_o2_6_a_64_.output_mode="comb_only";
defparam hilo_37_iv_i_0_o2_6_a_64_.lut_mask="7350";
defparam hilo_37_iv_i_0_o2_6_a_64_.synch_mode="off";
defparam hilo_37_iv_i_0_o2_6_a_64_.sum_lutc_input="datac";
// @5:1
  cyclone_lcell LAST_CYCLE_DEAL_SECTION_addnop292_0_ (
        .combout(addnop292[0]),
        .dataa(op1_sign_reged),
        .datab(op2_sign_reged),
        .datac(eqz_2),
        .datad(eqop2_2_NE),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam LAST_CYCLE_DEAL_SECTION_addnop292_0_.operation_mode="normal";
defparam LAST_CYCLE_DEAL_SECTION_addnop292_0_.output_mode="comb_only";
defparam LAST_CYCLE_DEAL_SECTION_addnop292_0_.lut_mask="0008";
defparam LAST_CYCLE_DEAL_SECTION_addnop292_0_.synch_mode="off";
defparam LAST_CYCLE_DEAL_SECTION_addnop292_0_.sum_lutc_input="datac";
// @5:1
  cyclone_lcell LAST_CYCLE_DEAL_SECTION_addnop290_0_ (
        .combout(addnop290[0]),
        .dataa(op1_sign_reged),
        .datab(op2_sign_reged),
        .datac(eqz_2),
        .datad(eqnop2_2_NE),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam LAST_CYCLE_DEAL_SECTION_addnop290_0_.operation_mode="normal";
defparam LAST_CYCLE_DEAL_SECTION_addnop290_0_.output_mode="comb_only";
defparam LAST_CYCLE_DEAL_SECTION_addnop290_0_.lut_mask="0002";
defparam LAST_CYCLE_DEAL_SECTION_addnop290_0_.synch_mode="off";
defparam LAST_CYCLE_DEAL_SECTION_addnop290_0_.sum_lutc_input="datac";
// @5:603
  cyclone_lcell overflow_4_iv_a_cZ (
        .combout(overflow_4_iv_a),
        .dataa(un29_sign_0_o3_i_o2),
        .datab(addnop2109),
        .datac(un8_op1_sign_reged_0_a2[0]),
        .datad(op2_reged_3_0_a2[32]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam overflow_4_iv_a_cZ.operation_mode="normal";
defparam overflow_4_iv_a_cZ.output_mode="comb_only";
defparam overflow_4_iv_a_cZ.lut_mask="4000";
defparam overflow_4_iv_a_cZ.synch_mode="off";
defparam overflow_4_iv_a_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_60_ (
        .combout(hilo_37_iv_0_3[60]),
        .dataa(un59_hilo_add29),
        .datab(hilo_37_iv_0_0[60]),
        .datac(hilo_37_iv_0_3_a[60]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_60_.operation_mode="normal";
defparam hilo_37_iv_0_3_60_.output_mode="comb_only";
defparam hilo_37_iv_0_3_60_.lut_mask="fdfc";
defparam hilo_37_iv_0_3_60_.synch_mode="off";
defparam hilo_37_iv_0_3_60_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_a_60_ (
        .combout(hilo_37_iv_0_3_a[60]),
        .dataa(hilo_60),
        .datab(un50_hilo_add28),
        .datac(hilo_37_iv_0_o3_0_o2[34]),
        .datad(hilo_37_iv_i_0_a3_2_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_a_60_.operation_mode="normal";
defparam hilo_37_iv_0_3_a_60_.output_mode="comb_only";
defparam hilo_37_iv_0_3_a_60_.lut_mask="7350";
defparam hilo_37_iv_0_3_a_60_.synch_mode="off";
defparam hilo_37_iv_0_3_a_60_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_61_ (
        .combout(hilo_37_iv_0_3[61]),
        .dataa(hilo_61),
        .datab(hilo_37_iv_0_1[61]),
        .datac(hilo_37_iv_0_o3_0_o2[34]),
        .datad(hilo_37_iv_0_3_a[61]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_61_.operation_mode="normal";
defparam hilo_37_iv_0_3_61_.output_mode="comb_only";
defparam hilo_37_iv_0_3_61_.lut_mask="ffdc";
defparam hilo_37_iv_0_3_61_.synch_mode="off";
defparam hilo_37_iv_0_3_61_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_a_61_ (
        .combout(hilo_37_iv_0_3_a[61]),
        .dataa(sub_or_yn),
        .datab(hilo_37_iv_0_a2_6_o2[59]),
        .datac(un50_hilo_add30),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_a_61_.operation_mode="normal";
defparam hilo_37_iv_0_3_a_61_.output_mode="comb_only";
defparam hilo_37_iv_0_3_a_61_.lut_mask="0100";
defparam hilo_37_iv_0_3_a_61_.synch_mode="off";
defparam hilo_37_iv_0_3_a_61_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_37_ (
        .combout(hilo_37_iv_0_3[37]),
        .dataa(un59_hilo_add6),
        .datab(hilo_37_iv_0_0[37]),
        .datac(hilo_37_iv_0_3_a[37]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_37_.operation_mode="normal";
defparam hilo_37_iv_0_3_37_.output_mode="comb_only";
defparam hilo_37_iv_0_3_37_.lut_mask="fdfc";
defparam hilo_37_iv_0_3_37_.synch_mode="off";
defparam hilo_37_iv_0_3_37_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_a_37_ (
        .combout(hilo_37_iv_0_3_a[37]),
        .dataa(hilo_37),
        .datab(un50_hilo_add5),
        .datac(hilo_37_iv_0_o3_0_o2[34]),
        .datad(hilo_37_iv_i_0_a3_2_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_a_37_.operation_mode="normal";
defparam hilo_37_iv_0_3_a_37_.output_mode="comb_only";
defparam hilo_37_iv_0_3_a_37_.lut_mask="7350";
defparam hilo_37_iv_0_3_a_37_.synch_mode="off";
defparam hilo_37_iv_0_3_a_37_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_33_ (
        .combout(hilo_37_iv_0_3[33]),
        .dataa(un59_hilo_add2),
        .datab(hilo_37_iv_0_0[33]),
        .datac(hilo_37_iv_0_3_a[33]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_33_.operation_mode="normal";
defparam hilo_37_iv_0_3_33_.output_mode="comb_only";
defparam hilo_37_iv_0_3_33_.lut_mask="fdfc";
defparam hilo_37_iv_0_3_33_.synch_mode="off";
defparam hilo_37_iv_0_3_33_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_a_33_ (
        .combout(hilo_37_iv_0_3_a[33]),
        .dataa(hilo_33),
        .datab(un50_hilo_add1),
        .datac(hilo_37_iv_0_o3_0_o2[34]),
        .datad(hilo_37_iv_i_0_a3_2_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_a_33_.operation_mode="normal";
defparam hilo_37_iv_0_3_a_33_.output_mode="comb_only";
defparam hilo_37_iv_0_3_a_33_.lut_mask="7350";
defparam hilo_37_iv_0_3_a_33_.synch_mode="off";
defparam hilo_37_iv_0_3_a_33_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_59_ (
        .combout(hilo_37_iv_0_3[59]),
        .dataa(hilo_59),
        .datab(hilo_37_iv_0_1[59]),
        .datac(hilo_37_iv_0_o3_0_o2[34]),
        .datad(hilo_37_iv_0_3_a[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_59_.operation_mode="normal";
defparam hilo_37_iv_0_3_59_.output_mode="comb_only";
defparam hilo_37_iv_0_3_59_.lut_mask="ffdc";
defparam hilo_37_iv_0_3_59_.synch_mode="off";
defparam hilo_37_iv_0_3_59_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_a_59_ (
        .combout(hilo_37_iv_0_3_a[59]),
        .dataa(sub_or_yn),
        .datab(hilo_37_iv_0_a2_6_o2[59]),
        .datac(un50_hilo_add28),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_a_59_.operation_mode="normal";
defparam hilo_37_iv_0_3_a_59_.output_mode="comb_only";
defparam hilo_37_iv_0_3_a_59_.lut_mask="0100";
defparam hilo_37_iv_0_3_a_59_.synch_mode="off";
defparam hilo_37_iv_0_3_a_59_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_4_55_ (
        .combout(hilo_37_iv_4[55]),
        .dataa(un59_hilo_add24),
        .datab(hilo_37_iv_1[55]),
        .datac(hilo_37_iv_0_a3_1_0[59]),
        .datad(hilo_37_iv_4_a[55]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_4_55_.operation_mode="normal";
defparam hilo_37_iv_4_55_.output_mode="comb_only";
defparam hilo_37_iv_4_55_.lut_mask="dcff";
defparam hilo_37_iv_4_55_.synch_mode="off";
defparam hilo_37_iv_4_55_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_4_a_55_ (
        .combout(hilo_37_iv_4_a[55]),
        .dataa(hilo_56),
        .datab(hilo_37_iv_0_a2_1_0_a2[29]),
        .datac(a_o_i_7),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_4_a_55_.operation_mode="normal";
defparam hilo_37_iv_4_a_55_.output_mode="comb_only";
defparam hilo_37_iv_4_a_55_.lut_mask="2a3f";
defparam hilo_37_iv_4_a_55_.synch_mode="off";
defparam hilo_37_iv_4_a_55_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_62_ (
        .combout(hilo_37_iv_0_3[62]),
        .dataa(un59_hilo_add31),
        .datab(hilo_37_iv_0_0[62]),
        .datac(hilo_37_iv_0_3_a[62]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_62_.operation_mode="normal";
defparam hilo_37_iv_0_3_62_.output_mode="comb_only";
defparam hilo_37_iv_0_3_62_.lut_mask="fdfc";
defparam hilo_37_iv_0_3_62_.synch_mode="off";
defparam hilo_37_iv_0_3_62_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_a_62_ (
        .combout(hilo_37_iv_0_3_a[62]),
        .dataa(hilo_62),
        .datab(un50_hilo_add30),
        .datac(hilo_37_iv_0_o3_0_o2[34]),
        .datad(hilo_37_iv_i_0_a3_2_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_a_62_.operation_mode="normal";
defparam hilo_37_iv_0_3_a_62_.output_mode="comb_only";
defparam hilo_37_iv_0_3_a_62_.lut_mask="7350";
defparam hilo_37_iv_0_3_a_62_.synch_mode="off";
defparam hilo_37_iv_0_3_a_62_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_12_ (
        .combout(hilo_37_iv_a[12]),
        .dataa(hilo_11),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_12_.operation_mode="normal";
defparam hilo_37_iv_a_12_.output_mode="comb_only";
defparam hilo_37_iv_a_12_.lut_mask="135f";
defparam hilo_37_iv_a_12_.synch_mode="off";
defparam hilo_37_iv_a_12_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_13_ (
        .combout(hilo_37_iv_a[13]),
        .dataa(hilo_12),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_13_.operation_mode="normal";
defparam hilo_37_iv_a_13_.output_mode="comb_only";
defparam hilo_37_iv_a_13_.lut_mask="135f";
defparam hilo_37_iv_a_13_.synch_mode="off";
defparam hilo_37_iv_a_13_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_11_ (
        .combout(hilo_37_iv_a[11]),
        .dataa(hilo_10),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_11_.operation_mode="normal";
defparam hilo_37_iv_a_11_.output_mode="comb_only";
defparam hilo_37_iv_a_11_.lut_mask="135f";
defparam hilo_37_iv_a_11_.synch_mode="off";
defparam hilo_37_iv_a_11_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_27_ (
        .combout(hilo_37_iv_a[27]),
        .dataa(hilo_26),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_27_.operation_mode="normal";
defparam hilo_37_iv_a_27_.output_mode="comb_only";
defparam hilo_37_iv_a_27_.lut_mask="135f";
defparam hilo_37_iv_a_27_.synch_mode="off";
defparam hilo_37_iv_a_27_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_28_ (
        .combout(hilo_37_iv_a[28]),
        .dataa(hilo_27),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_28_.operation_mode="normal";
defparam hilo_37_iv_a_28_.output_mode="comb_only";
defparam hilo_37_iv_a_28_.lut_mask="135f";
defparam hilo_37_iv_a_28_.synch_mode="off";
defparam hilo_37_iv_a_28_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_24_ (
        .combout(hilo_37_iv_a[24]),
        .dataa(hilo_23),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_24_.operation_mode="normal";
defparam hilo_37_iv_a_24_.output_mode="comb_only";
defparam hilo_37_iv_a_24_.lut_mask="135f";
defparam hilo_37_iv_a_24_.synch_mode="off";
defparam hilo_37_iv_a_24_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_25_ (
        .combout(hilo_37_iv_a[25]),
        .dataa(hilo_24),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_25_.operation_mode="normal";
defparam hilo_37_iv_a_25_.output_mode="comb_only";
defparam hilo_37_iv_a_25_.lut_mask="135f";
defparam hilo_37_iv_a_25_.synch_mode="off";
defparam hilo_37_iv_a_25_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_22_ (
        .combout(hilo_37_iv_a[22]),
        .dataa(hilo_21),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_22_.operation_mode="normal";
defparam hilo_37_iv_a_22_.output_mode="comb_only";
defparam hilo_37_iv_a_22_.lut_mask="135f";
defparam hilo_37_iv_a_22_.synch_mode="off";
defparam hilo_37_iv_a_22_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_20_ (
        .combout(hilo_37_iv_a[20]),
        .dataa(hilo_19),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_20_.operation_mode="normal";
defparam hilo_37_iv_a_20_.output_mode="comb_only";
defparam hilo_37_iv_a_20_.lut_mask="135f";
defparam hilo_37_iv_a_20_.synch_mode="off";
defparam hilo_37_iv_a_20_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_18_ (
        .combout(hilo_37_iv_a[18]),
        .dataa(hilo_17),
        .datab(addnop2109),
        .datac(a_o_18),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_18_.operation_mode="normal";
defparam hilo_37_iv_a_18_.output_mode="comb_only";
defparam hilo_37_iv_a_18_.lut_mask="153f";
defparam hilo_37_iv_a_18_.synch_mode="off";
defparam hilo_37_iv_a_18_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_14_ (
        .combout(hilo_37_iv_a[14]),
        .dataa(hilo_13),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_14_.operation_mode="normal";
defparam hilo_37_iv_a_14_.output_mode="comb_only";
defparam hilo_37_iv_a_14_.lut_mask="135f";
defparam hilo_37_iv_a_14_.synch_mode="off";
defparam hilo_37_iv_a_14_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_15_ (
        .combout(hilo_37_iv_a[15]),
        .dataa(hilo_14),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_15_.operation_mode="normal";
defparam hilo_37_iv_a_15_.output_mode="comb_only";
defparam hilo_37_iv_a_15_.lut_mask="135f";
defparam hilo_37_iv_a_15_.synch_mode="off";
defparam hilo_37_iv_a_15_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_7_ (
        .combout(hilo_37_iv_a[7]),
        .dataa(hilo_6),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_7_.operation_mode="normal";
defparam hilo_37_iv_a_7_.output_mode="comb_only";
defparam hilo_37_iv_a_7_.lut_mask="135f";
defparam hilo_37_iv_a_7_.synch_mode="off";
defparam hilo_37_iv_a_7_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_8_ (
        .combout(hilo_37_iv_a[8]),
        .dataa(hilo_7),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_8_.operation_mode="normal";
defparam hilo_37_iv_a_8_.output_mode="comb_only";
defparam hilo_37_iv_a_8_.lut_mask="135f";
defparam hilo_37_iv_a_8_.synch_mode="off";
defparam hilo_37_iv_a_8_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_5_ (
        .combout(hilo_37_iv_a[5]),
        .dataa(hilo_4),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_5_.operation_mode="normal";
defparam hilo_37_iv_a_5_.output_mode="comb_only";
defparam hilo_37_iv_a_5_.lut_mask="135f";
defparam hilo_37_iv_a_5_.synch_mode="off";
defparam hilo_37_iv_a_5_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_3_ (
        .combout(hilo_37_iv_a[3]),
        .dataa(hilo_2),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_3_.operation_mode="normal";
defparam hilo_37_iv_a_3_.output_mode="comb_only";
defparam hilo_37_iv_a_3_.lut_mask="135f";
defparam hilo_37_iv_a_3_.synch_mode="off";
defparam hilo_37_iv_a_3_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_0_ (
        .combout(hilo_37_iv_a[0]),
        .dataa(hilo_0),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(a_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_0_.operation_mode="normal";
defparam hilo_37_iv_a_0_.output_mode="comb_only";
defparam hilo_37_iv_a_0_.lut_mask="407f";
defparam hilo_37_iv_a_0_.synch_mode="off";
defparam hilo_37_iv_a_0_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_6_ (
        .combout(hilo_37_iv_a[6]),
        .dataa(hilo_5),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_6_.operation_mode="normal";
defparam hilo_37_iv_a_6_.output_mode="comb_only";
defparam hilo_37_iv_a_6_.lut_mask="135f";
defparam hilo_37_iv_a_6_.synch_mode="off";
defparam hilo_37_iv_a_6_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_4_ (
        .combout(hilo_37_iv_a[4]),
        .dataa(hilo_3),
        .datab(addnop2109),
        .datac(hilo_2_sqmuxa),
        .datad(a_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_4_.operation_mode="normal";
defparam hilo_37_iv_a_4_.output_mode="comb_only";
defparam hilo_37_iv_a_4_.lut_mask="135f";
defparam hilo_37_iv_a_4_.synch_mode="off";
defparam hilo_37_iv_a_4_.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_NE_cZ (
        .combout(eqop2_2_NE),
        .dataa(eqop2_2_NE_11),
        .datab(eqop2_2_NE_9),
        .datac(eqop2_2_NE_10),
        .datad(eqop2_2_NE_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_cZ.operation_mode="normal";
defparam eqop2_2_NE_cZ.output_mode="comb_only";
defparam eqop2_2_NE_cZ.lut_mask="fffe";
defparam eqop2_2_NE_cZ.synch_mode="off";
defparam eqop2_2_NE_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_cZ (
        .combout(eqnop2_2_NE),
        .dataa(eqnop2_2_NE_8),
        .datab(eqnop2_2_NE_7),
        .datac(eqnop2_2_NE_11),
        .datad(eqnop2_2_NE_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_cZ.operation_mode="normal";
defparam eqnop2_2_NE_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_cZ.lut_mask="fffe";
defparam eqnop2_2_NE_cZ.synch_mode="off";
defparam eqnop2_2_NE_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_32_ (
        .combout(hilo_37_iv_2[32]),
        .dataa(hilo_24_add0),
        .datab(hilo_33_i_m[32]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[32]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_32_.operation_mode="normal";
defparam hilo_37_iv_2_32_.output_mode="comb_only";
defparam hilo_37_iv_2_32_.lut_mask="ffdc";
defparam hilo_37_iv_2_32_.synch_mode="off";
defparam hilo_37_iv_2_32_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_32_ (
        .combout(hilo_37_iv_2_a[32]),
        .dataa(hilo_0),
        .datab(hilo_22_Z[32]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_32_.operation_mode="normal";
defparam hilo_37_iv_2_a_32_.output_mode="comb_only";
defparam hilo_37_iv_2_a_32_.lut_mask="7350";
defparam hilo_37_iv_2_a_32_.synch_mode="off";
defparam hilo_37_iv_2_a_32_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_52_ (
        .combout(hilo_37_iv_2[52]),
        .dataa(un59_hilo_add21),
        .datab(hilo_33_i_m[52]),
        .datac(hilo_37_iv_0_a3_1_0[59]),
        .datad(hilo_37_iv_2_a[52]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_52_.operation_mode="normal";
defparam hilo_37_iv_2_52_.output_mode="comb_only";
defparam hilo_37_iv_2_52_.lut_mask="ffdc";
defparam hilo_37_iv_2_52_.synch_mode="off";
defparam hilo_37_iv_2_52_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_52_ (
        .combout(hilo_37_iv_2_a[52]),
        .dataa(hilo_20),
        .datab(hilo_53),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_52_.operation_mode="normal";
defparam hilo_37_iv_2_a_52_.output_mode="comb_only";
defparam hilo_37_iv_2_a_52_.lut_mask="7350";
defparam hilo_37_iv_2_a_52_.synch_mode="off";
defparam hilo_37_iv_2_a_52_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_2_36_ (
        .combout(hilo_37_iv_0_2[36]),
        .dataa(hilo_36),
        .datab(hilo_37_iv_0_a3_3[36]),
        .datac(hilo_37_iv_0_o3_0_o2[34]),
        .datad(hilo_37_iv_0_2_a[36]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_2_36_.operation_mode="normal";
defparam hilo_37_iv_0_2_36_.output_mode="comb_only";
defparam hilo_37_iv_0_2_36_.lut_mask="ffdc";
defparam hilo_37_iv_0_2_36_.synch_mode="off";
defparam hilo_37_iv_0_2_36_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_2_a_36_ (
        .combout(hilo_37_iv_0_2_a[36]),
        .dataa(hilo_4),
        .datab(un50_hilo_add4),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_37_iv_i_0_a3_2_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_2_a_36_.operation_mode="normal";
defparam hilo_37_iv_0_2_a_36_.output_mode="comb_only";
defparam hilo_37_iv_0_2_a_36_.lut_mask="7350";
defparam hilo_37_iv_0_2_a_36_.synch_mode="off";
defparam hilo_37_iv_0_2_a_36_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_i_o3_2_35_ (
        .combout(hilo_37_iv_0_i_o3_2[35]),
        .dataa(VCC),
        .datab(hilo_35),
        .datac(hilo_37_iv_0_i_o3_1[35]),
        .datad(hilo_37_iv_0_o3_0_o2[34]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_i_o3_2_35_.operation_mode="normal";
defparam hilo_37_iv_0_i_o3_2_35_.output_mode="comb_only";
defparam hilo_37_iv_0_i_o3_2_35_.lut_mask="f3f0";
defparam hilo_37_iv_0_i_o3_2_35_.synch_mode="off";
defparam hilo_37_iv_0_i_o3_2_35_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_54_ (
        .combout(hilo_37_iv_2[54]),
        .dataa(un59_hilo_add23),
        .datab(hilo_33_i_m[54]),
        .datac(hilo_37_iv_0_a3_1_0[59]),
        .datad(hilo_37_iv_2_a[54]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_54_.operation_mode="normal";
defparam hilo_37_iv_2_54_.output_mode="comb_only";
defparam hilo_37_iv_2_54_.lut_mask="ffdc";
defparam hilo_37_iv_2_54_.synch_mode="off";
defparam hilo_37_iv_2_54_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_54_ (
        .combout(hilo_37_iv_2_a[54]),
        .dataa(hilo_22),
        .datab(hilo_55),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_54_.operation_mode="normal";
defparam hilo_37_iv_2_a_54_.output_mode="comb_only";
defparam hilo_37_iv_2_a_54_.lut_mask="7350";
defparam hilo_37_iv_2_a_54_.synch_mode="off";
defparam hilo_37_iv_2_a_54_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_40_ (
        .combout(hilo_37_iv_2[40]),
        .dataa(hilo_24_add8),
        .datab(hilo_33_i_m[40]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[40]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_40_.operation_mode="normal";
defparam hilo_37_iv_2_40_.output_mode="comb_only";
defparam hilo_37_iv_2_40_.lut_mask="ffdc";
defparam hilo_37_iv_2_40_.synch_mode="off";
defparam hilo_37_iv_2_40_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_40_ (
        .combout(hilo_37_iv_2_a[40]),
        .dataa(hilo_8),
        .datab(hilo_22_Z[40]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_40_.operation_mode="normal";
defparam hilo_37_iv_2_a_40_.output_mode="comb_only";
defparam hilo_37_iv_2_a_40_.lut_mask="7350";
defparam hilo_37_iv_2_a_40_.synch_mode="off";
defparam hilo_37_iv_2_a_40_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_41_ (
        .combout(hilo_37_iv_2[41]),
        .dataa(hilo_24_add9),
        .datab(hilo_33_i_m[41]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[41]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_41_.operation_mode="normal";
defparam hilo_37_iv_2_41_.output_mode="comb_only";
defparam hilo_37_iv_2_41_.lut_mask="ffdc";
defparam hilo_37_iv_2_41_.synch_mode="off";
defparam hilo_37_iv_2_41_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_41_ (
        .combout(hilo_37_iv_2_a[41]),
        .dataa(hilo_9),
        .datab(hilo_22_Z[41]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_41_.operation_mode="normal";
defparam hilo_37_iv_2_a_41_.output_mode="comb_only";
defparam hilo_37_iv_2_a_41_.lut_mask="7350";
defparam hilo_37_iv_2_a_41_.synch_mode="off";
defparam hilo_37_iv_2_a_41_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_38_ (
        .combout(hilo_37_iv_2[38]),
        .dataa(hilo_24_add6),
        .datab(hilo_37_iv_2_a[38]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_22_i_m[38]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_38_.operation_mode="normal";
defparam hilo_37_iv_2_38_.output_mode="comb_only";
defparam hilo_37_iv_2_38_.lut_mask="ffdc";
defparam hilo_37_iv_2_38_.synch_mode="off";
defparam hilo_37_iv_2_38_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_38_ (
        .combout(hilo_37_iv_2_a[38]),
        .dataa(hilo_6),
        .datab(hilo_33_3[38]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_38_.operation_mode="normal";
defparam hilo_37_iv_2_a_38_.output_mode="comb_only";
defparam hilo_37_iv_2_a_38_.lut_mask="7350";
defparam hilo_37_iv_2_a_38_.synch_mode="off";
defparam hilo_37_iv_2_a_38_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_53_ (
        .combout(hilo_37_iv_2[53]),
        .dataa(hilo_24_add21),
        .datab(hilo_33_i_m[53]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[53]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_53_.operation_mode="normal";
defparam hilo_37_iv_2_53_.output_mode="comb_only";
defparam hilo_37_iv_2_53_.lut_mask="ffdc";
defparam hilo_37_iv_2_53_.synch_mode="off";
defparam hilo_37_iv_2_53_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_53_ (
        .combout(hilo_37_iv_2_a[53]),
        .dataa(hilo_21),
        .datab(hilo_22_Z[53]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_53_.operation_mode="normal";
defparam hilo_37_iv_2_a_53_.output_mode="comb_only";
defparam hilo_37_iv_2_a_53_.lut_mask="7350";
defparam hilo_37_iv_2_a_53_.synch_mode="off";
defparam hilo_37_iv_2_a_53_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_39_ (
        .combout(hilo_37_iv_2[39]),
        .dataa(hilo_24_add7),
        .datab(hilo_33_i_m[39]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[39]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_39_.operation_mode="normal";
defparam hilo_37_iv_2_39_.output_mode="comb_only";
defparam hilo_37_iv_2_39_.lut_mask="ffdc";
defparam hilo_37_iv_2_39_.synch_mode="off";
defparam hilo_37_iv_2_39_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_39_ (
        .combout(hilo_37_iv_2_a[39]),
        .dataa(hilo_7),
        .datab(hilo_22_Z[39]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_39_.operation_mode="normal";
defparam hilo_37_iv_2_a_39_.output_mode="comb_only";
defparam hilo_37_iv_2_a_39_.lut_mask="7350";
defparam hilo_37_iv_2_a_39_.synch_mode="off";
defparam hilo_37_iv_2_a_39_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_2_63_ (
        .combout(hilo_37_iv_0_2[63]),
        .dataa(VCC),
        .datab(hilo_63),
        .datac(hilo_37_iv_0_1[63]),
        .datad(hilo_37_iv_0_o3_0_o2[34]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_2_63_.operation_mode="normal";
defparam hilo_37_iv_0_2_63_.output_mode="comb_only";
defparam hilo_37_iv_0_2_63_.lut_mask="f3f0";
defparam hilo_37_iv_0_2_63_.synch_mode="off";
defparam hilo_37_iv_0_2_63_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_46_ (
        .combout(hilo_37_iv_2[46]),
        .dataa(hilo_24_add14),
        .datab(hilo_33_i_m[46]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[46]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_46_.operation_mode="normal";
defparam hilo_37_iv_2_46_.output_mode="comb_only";
defparam hilo_37_iv_2_46_.lut_mask="ffdc";
defparam hilo_37_iv_2_46_.synch_mode="off";
defparam hilo_37_iv_2_46_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_46_ (
        .combout(hilo_37_iv_2_a[46]),
        .dataa(hilo_14),
        .datab(hilo_22_Z[46]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_46_.operation_mode="normal";
defparam hilo_37_iv_2_a_46_.output_mode="comb_only";
defparam hilo_37_iv_2_a_46_.lut_mask="7350";
defparam hilo_37_iv_2_a_46_.synch_mode="off";
defparam hilo_37_iv_2_a_46_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_44_ (
        .combout(hilo_37_iv_2[44]),
        .dataa(hilo_24_add12),
        .datab(hilo_33_i_m[44]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[44]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_44_.operation_mode="normal";
defparam hilo_37_iv_2_44_.output_mode="comb_only";
defparam hilo_37_iv_2_44_.lut_mask="ffdc";
defparam hilo_37_iv_2_44_.synch_mode="off";
defparam hilo_37_iv_2_44_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_44_ (
        .combout(hilo_37_iv_2_a[44]),
        .dataa(hilo_12),
        .datab(hilo_22_Z[44]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_44_.operation_mode="normal";
defparam hilo_37_iv_2_a_44_.output_mode="comb_only";
defparam hilo_37_iv_2_a_44_.lut_mask="7350";
defparam hilo_37_iv_2_a_44_.synch_mode="off";
defparam hilo_37_iv_2_a_44_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_42_ (
        .combout(hilo_37_iv_2[42]),
        .dataa(hilo_24_add10),
        .datab(hilo_33_i_m[42]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[42]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_42_.operation_mode="normal";
defparam hilo_37_iv_2_42_.output_mode="comb_only";
defparam hilo_37_iv_2_42_.lut_mask="ffdc";
defparam hilo_37_iv_2_42_.synch_mode="off";
defparam hilo_37_iv_2_42_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_42_ (
        .combout(hilo_37_iv_2_a[42]),
        .dataa(hilo_10),
        .datab(hilo_22_Z[42]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_42_.operation_mode="normal";
defparam hilo_37_iv_2_a_42_.output_mode="comb_only";
defparam hilo_37_iv_2_a_42_.lut_mask="7350";
defparam hilo_37_iv_2_a_42_.synch_mode="off";
defparam hilo_37_iv_2_a_42_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_47_ (
        .combout(hilo_37_iv_2[47]),
        .dataa(hilo_24_add15),
        .datab(hilo_33_i_m[47]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[47]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_47_.operation_mode="normal";
defparam hilo_37_iv_2_47_.output_mode="comb_only";
defparam hilo_37_iv_2_47_.lut_mask="ffdc";
defparam hilo_37_iv_2_47_.synch_mode="off";
defparam hilo_37_iv_2_47_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_47_ (
        .combout(hilo_37_iv_2_a[47]),
        .dataa(hilo_15),
        .datab(hilo_22_Z[47]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_47_.operation_mode="normal";
defparam hilo_37_iv_2_a_47_.output_mode="comb_only";
defparam hilo_37_iv_2_a_47_.lut_mask="7350";
defparam hilo_37_iv_2_a_47_.synch_mode="off";
defparam hilo_37_iv_2_a_47_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_43_ (
        .combout(hilo_37_iv_2[43]),
        .dataa(hilo_24_add11),
        .datab(hilo_33_i_m[43]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[43]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_43_.operation_mode="normal";
defparam hilo_37_iv_2_43_.output_mode="comb_only";
defparam hilo_37_iv_2_43_.lut_mask="ffdc";
defparam hilo_37_iv_2_43_.synch_mode="off";
defparam hilo_37_iv_2_43_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_43_ (
        .combout(hilo_37_iv_2_a[43]),
        .dataa(hilo_11),
        .datab(hilo_22_Z[43]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_43_.operation_mode="normal";
defparam hilo_37_iv_2_a_43_.output_mode="comb_only";
defparam hilo_37_iv_2_a_43_.lut_mask="7350";
defparam hilo_37_iv_2_a_43_.synch_mode="off";
defparam hilo_37_iv_2_a_43_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_45_ (
        .combout(hilo_37_iv_2[45]),
        .dataa(hilo_24_add13),
        .datab(hilo_33_i_m[45]),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_2_a[45]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_45_.operation_mode="normal";
defparam hilo_37_iv_2_45_.output_mode="comb_only";
defparam hilo_37_iv_2_45_.lut_mask="ffdc";
defparam hilo_37_iv_2_45_.synch_mode="off";
defparam hilo_37_iv_2_45_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_45_ (
        .combout(hilo_37_iv_2_a[45]),
        .dataa(hilo_13),
        .datab(hilo_22_Z[45]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_45_.operation_mode="normal";
defparam hilo_37_iv_2_a_45_.output_mode="comb_only";
defparam hilo_37_iv_2_a_45_.lut_mask="7350";
defparam hilo_37_iv_2_a_45_.synch_mode="off";
defparam hilo_37_iv_2_a_45_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_2_31_ (
        .combout(hilo_37_iv_0_2[31]),
        .dataa(un59_hilo_add0),
        .datab(hilo_37_iv_0_0[31]),
        .datac(hilo_37_iv_0_2_a[31]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_2_31_.operation_mode="normal";
defparam hilo_37_iv_0_2_31_.output_mode="comb_only";
defparam hilo_37_iv_0_2_31_.lut_mask="fefc";
defparam hilo_37_iv_0_2_31_.synch_mode="off";
defparam hilo_37_iv_0_2_31_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_2_a_31_ (
        .combout(hilo_37_iv_0_2_a[31]),
        .dataa(hilo_32),
        .datab(hilo_0),
        .datac(hilo_37_iv_0_a2_0_i_o2[35]),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_2_a_31_.operation_mode="normal";
defparam hilo_37_iv_0_2_a_31_.output_mode="comb_only";
defparam hilo_37_iv_0_2_a_31_.lut_mask="2800";
defparam hilo_37_iv_0_2_a_31_.synch_mode="off";
defparam hilo_37_iv_0_2_a_31_.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_cZ (
        .combout(eqz_2),
        .dataa(eqz_2_17),
        .datab(eqz_2_18),
        .datac(eqz_2_27),
        .datad(eqz_2_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_cZ.operation_mode="normal";
defparam eqz_2_cZ.output_mode="comb_only";
defparam eqz_2_cZ.lut_mask="8000";
defparam eqz_2_cZ.synch_mode="off";
defparam eqz_2_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_23_ (
        .combout(hilo_37_iv_a[23]),
        .dataa(hilo_24),
        .datab(hilo_22),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_23_.operation_mode="normal";
defparam hilo_37_iv_a_23_.output_mode="comb_only";
defparam hilo_37_iv_a_23_.lut_mask="135f";
defparam hilo_37_iv_a_23_.synch_mode="off";
defparam hilo_37_iv_a_23_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_a_19_ (
        .combout(hilo_37_iv_a[19]),
        .dataa(hilo_20),
        .datab(hilo_18),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_a_19_.operation_mode="normal";
defparam hilo_37_iv_a_19_.output_mode="comb_only";
defparam hilo_37_iv_a_19_.lut_mask="135f";
defparam hilo_37_iv_a_19_.synch_mode="off";
defparam hilo_37_iv_a_19_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_2_ (
        .combout(hilo_37_iv_0_a[2]),
        .dataa(hilo_1),
        .datab(hilo_3),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_2_.operation_mode="normal";
defparam hilo_37_iv_0_a_2_.output_mode="comb_only";
defparam hilo_37_iv_0_a_2_.lut_mask="153f";
defparam hilo_37_iv_0_a_2_.synch_mode="off";
defparam hilo_37_iv_0_a_2_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_1_ (
        .combout(hilo_37_iv_0_a[1]),
        .dataa(hilo_2),
        .datab(hilo_0),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_1_.operation_mode="normal";
defparam hilo_37_iv_0_a_1_.output_mode="comb_only";
defparam hilo_37_iv_0_a_1_.lut_mask="135f";
defparam hilo_37_iv_0_a_1_.synch_mode="off";
defparam hilo_37_iv_0_a_1_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_21_ (
        .combout(hilo_37_iv_0_a[21]),
        .dataa(hilo_22),
        .datab(hilo_20),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_21_.operation_mode="normal";
defparam hilo_37_iv_0_a_21_.output_mode="comb_only";
defparam hilo_37_iv_0_a_21_.lut_mask="135f";
defparam hilo_37_iv_0_a_21_.synch_mode="off";
defparam hilo_37_iv_0_a_21_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_17_ (
        .combout(hilo_37_iv_0_a[17]),
        .dataa(hilo_18),
        .datab(hilo_16),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_17_.operation_mode="normal";
defparam hilo_37_iv_0_a_17_.output_mode="comb_only";
defparam hilo_37_iv_0_a_17_.lut_mask="135f";
defparam hilo_37_iv_0_a_17_.synch_mode="off";
defparam hilo_37_iv_0_a_17_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_10_ (
        .combout(hilo_37_iv_0_a[10]),
        .dataa(hilo_9),
        .datab(hilo_11),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_10_.operation_mode="normal";
defparam hilo_37_iv_0_a_10_.output_mode="comb_only";
defparam hilo_37_iv_0_a_10_.lut_mask="153f";
defparam hilo_37_iv_0_a_10_.synch_mode="off";
defparam hilo_37_iv_0_a_10_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_9_ (
        .combout(hilo_37_iv_0_a[9]),
        .dataa(hilo_10),
        .datab(hilo_8),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_9_.operation_mode="normal";
defparam hilo_37_iv_0_a_9_.output_mode="comb_only";
defparam hilo_37_iv_0_a_9_.lut_mask="135f";
defparam hilo_37_iv_0_a_9_.synch_mode="off";
defparam hilo_37_iv_0_a_9_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_30_ (
        .combout(hilo_37_iv_0_a[30]),
        .dataa(hilo_31),
        .datab(hilo_29),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_30_.operation_mode="normal";
defparam hilo_37_iv_0_a_30_.output_mode="comb_only";
defparam hilo_37_iv_0_a_30_.lut_mask="135f";
defparam hilo_37_iv_0_a_30_.synch_mode="off";
defparam hilo_37_iv_0_a_30_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_29_ (
        .combout(hilo_37_iv_0_a[29]),
        .dataa(hilo_30),
        .datab(hilo_28),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_29_.operation_mode="normal";
defparam hilo_37_iv_0_a_29_.output_mode="comb_only";
defparam hilo_37_iv_0_a_29_.lut_mask="135f";
defparam hilo_37_iv_0_a_29_.synch_mode="off";
defparam hilo_37_iv_0_a_29_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_16_ (
        .combout(hilo_37_iv_0_a[16]),
        .dataa(hilo_17),
        .datab(hilo_15),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_16_.operation_mode="normal";
defparam hilo_37_iv_0_a_16_.output_mode="comb_only";
defparam hilo_37_iv_0_a_16_.lut_mask="135f";
defparam hilo_37_iv_0_a_16_.synch_mode="off";
defparam hilo_37_iv_0_a_16_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_26_ (
        .combout(hilo_37_iv_0_a[26]),
        .dataa(hilo_27),
        .datab(hilo_25),
        .datac(hilo_1_sqmuxa_1),
        .datad(hilo_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_26_.operation_mode="normal";
defparam hilo_37_iv_0_a_26_.output_mode="comb_only";
defparam hilo_37_iv_0_a_26_.lut_mask="135f";
defparam hilo_37_iv_0_a_26_.synch_mode="off";
defparam hilo_37_iv_0_a_26_.sum_lutc_input="datac";
// @5:581
  cyclone_lcell sub_or_yn_0_sqmuxa_1_a_cZ (
        .combout(sub_or_yn_0_sqmuxa_1_a),
        .dataa(start),
        .datab(rdy),
        .datac(un1_addnop2104_1),
        .datad(un17_mul_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sub_or_yn_0_sqmuxa_1_a_cZ.operation_mode="normal";
defparam sub_or_yn_0_sqmuxa_1_a_cZ.output_mode="comb_only";
defparam sub_or_yn_0_sqmuxa_1_a_cZ.lut_mask="31fd";
defparam sub_or_yn_0_sqmuxa_1_a_cZ.synch_mode="off";
defparam sub_or_yn_0_sqmuxa_1_a_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_22_i_m_48_ (
        .combout(hilo_22_i_m[48]),
        .dataa(sign),
        .datab(hilo_22_i_m_a[48]),
        .datac(hilo_15_3_i[48]),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_i_m_48_.operation_mode="normal";
defparam hilo_22_i_m_48_.output_mode="comb_only";
defparam hilo_22_i_m_48_.lut_mask="e400";
defparam hilo_22_i_m_48_.synch_mode="off";
defparam hilo_22_i_m_48_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_22_i_m_a_48_ (
        .combout(hilo_22_i_m_a[48]),
        .dataa(hilo_49),
        .datab(hilo_0),
        .datac(un59_hilo_add17),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_i_m_a_48_.operation_mode="normal";
defparam hilo_22_i_m_a_48_.output_mode="comb_only";
defparam hilo_22_i_m_a_48_.lut_mask="1d1d";
defparam hilo_22_i_m_a_48_.synch_mode="off";
defparam hilo_22_i_m_a_48_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_5_i_m_52_ (
        .combout(hilo_5_i_m[52]),
        .dataa(hilo_52),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(a_o_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_5_i_m_52_.operation_mode="normal";
defparam hilo_5_i_m_52_.output_mode="comb_only";
defparam hilo_5_i_m_52_.lut_mask="10d0";
defparam hilo_5_i_m_52_.synch_mode="off";
defparam hilo_5_i_m_52_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_5_i_m_54_ (
        .combout(hilo_5_i_m[54]),
        .dataa(hilo_54),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(a_o_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_5_i_m_54_.operation_mode="normal";
defparam hilo_5_i_m_54_.output_mode="comb_only";
defparam hilo_5_i_m_54_.lut_mask="10d0";
defparam hilo_5_i_m_54_.synch_mode="off";
defparam hilo_5_i_m_54_.sum_lutc_input="datac";
// @5:581
  cyclone_lcell rdy_1_i_a2_a_cZ (
        .combout(rdy_1_i_a2_a),
        .dataa(overflow),
        .datab(addop2_0_sqmuxa),
        .datac(addnop2110),
        .datad(rdy_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rdy_1_i_a2_a_cZ.operation_mode="normal";
defparam rdy_1_i_a2_a_cZ.output_mode="comb_only";
defparam rdy_1_i_a2_a_cZ.lut_mask="001f";
defparam rdy_1_i_a2_a_cZ.synch_mode="off";
defparam rdy_1_i_a2_a_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_i_0_o2_6_a2_0_64_ (
        .combout(hilo_37_iv_i_0_o2_6_a2_0[64]),
        .dataa(un17_mul_0),
        .datab(un29_sign_1_0_o3_i_o2),
        .datac(addnop2109),
        .datad(a_o_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_i_0_o2_6_a2_0_64_.operation_mode="normal";
defparam hilo_37_iv_i_0_o2_6_a2_0_64_.output_mode="comb_only";
defparam hilo_37_iv_i_0_o2_6_a2_0_64_.lut_mask="d0f0";
defparam hilo_37_iv_i_0_o2_6_a2_0_64_.synch_mode="off";
defparam hilo_37_iv_i_0_o2_6_a2_0_64_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_i_0_o2_6_1_64_ (
        .combout(hilo_37_iv_i_0_o2_6_1[64]),
        .dataa(hilo_64),
        .datab(un59_hilo_add32),
        .datac(hilo_37_iv_i_0_o2_6_1_a[64]),
        .datad(hilo_37_iv_i_0_a3_1_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_i_0_o2_6_1_64_.operation_mode="normal";
defparam hilo_37_iv_i_0_o2_6_1_64_.output_mode="comb_only";
defparam hilo_37_iv_i_0_o2_6_1_64_.lut_mask="3705";
defparam hilo_37_iv_i_0_o2_6_1_64_.synch_mode="off";
defparam hilo_37_iv_i_0_o2_6_1_64_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_i_0_o2_6_1_a_64_ (
        .combout(hilo_37_iv_i_0_o2_6_1_a[64]),
        .dataa(hilo_33_1[58]),
        .datab(hilo25),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_i_0_o2_6_1_a_64_.operation_mode="normal";
defparam hilo_37_iv_i_0_o2_6_1_a_64_.output_mode="comb_only";
defparam hilo_37_iv_i_0_o2_6_1_a_64_.lut_mask="0203";
defparam hilo_37_iv_i_0_o2_6_1_a_64_.synch_mode="off";
defparam hilo_37_iv_i_0_o2_6_1_a_64_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_1_34_ (
        .combout(hilo_37_iv_0_1[34]),
        .dataa(hilo_2),
        .datab(hilo_37_iv_0_1_a[34]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_1_34_.operation_mode="normal";
defparam hilo_37_iv_0_1_34_.output_mode="comb_only";
defparam hilo_37_iv_0_1_34_.lut_mask="dc50";
defparam hilo_37_iv_0_1_34_.synch_mode="off";
defparam hilo_37_iv_0_1_34_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_1_a_34_ (
        .combout(hilo_37_iv_0_1_a[34]),
        .dataa(addop2),
        .datab(addnop2),
        .datac(un50_hilo_add2),
        .datad(un59_hilo_add2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_1_a_34_.operation_mode="normal";
defparam hilo_37_iv_0_1_a_34_.output_mode="comb_only";
defparam hilo_37_iv_0_1_a_34_.lut_mask="0426";
defparam hilo_37_iv_0_1_a_34_.synch_mode="off";
defparam hilo_37_iv_0_1_a_34_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_i_o3_1_35_ (
        .combout(hilo_37_iv_0_i_o3_1[35]),
        .dataa(hilo_3),
        .datab(hilo_37_iv_0_i_o3_1_a[35]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_i_o3_1_35_.operation_mode="normal";
defparam hilo_37_iv_0_i_o3_1_35_.output_mode="comb_only";
defparam hilo_37_iv_0_i_o3_1_35_.lut_mask="dc50";
defparam hilo_37_iv_0_i_o3_1_35_.synch_mode="off";
defparam hilo_37_iv_0_i_o3_1_35_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_i_o3_1_a_35_ (
        .combout(hilo_37_iv_0_i_o3_1_a[35]),
        .dataa(addop2),
        .datab(addnop2),
        .datac(un59_hilo_add3),
        .datad(un50_hilo_add3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_i_o3_1_a_35_.operation_mode="normal";
defparam hilo_37_iv_0_i_o3_1_a_35_.output_mode="comb_only";
defparam hilo_37_iv_0_i_o3_1_a_35_.lut_mask="0246";
defparam hilo_37_iv_0_i_o3_1_a_35_.synch_mode="off";
defparam hilo_37_iv_0_i_o3_1_a_35_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_1_61_ (
        .combout(hilo_37_iv_0_1[61]),
        .dataa(hilo_29),
        .datab(hilo_37_iv_0_1_a[61]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_1_61_.operation_mode="normal";
defparam hilo_37_iv_0_1_61_.output_mode="comb_only";
defparam hilo_37_iv_0_1_61_.lut_mask="dc50";
defparam hilo_37_iv_0_1_61_.synch_mode="off";
defparam hilo_37_iv_0_1_61_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_1_a_61_ (
        .combout(hilo_37_iv_0_1_a[61]),
        .dataa(addop2),
        .datab(addnop2),
        .datac(un59_hilo_add29),
        .datad(un50_hilo_add29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_1_a_61_.operation_mode="normal";
defparam hilo_37_iv_0_1_a_61_.output_mode="comb_only";
defparam hilo_37_iv_0_1_a_61_.lut_mask="0246";
defparam hilo_37_iv_0_1_a_61_.synch_mode="off";
defparam hilo_37_iv_0_1_a_61_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_49_ (
        .combout(hilo_37_iv_2[49]),
        .dataa(un59_hilo_add18),
        .datab(hilo_37_iv_2_a[49]),
        .datac(hilo_33_i_m[49]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_49_.operation_mode="normal";
defparam hilo_37_iv_2_49_.output_mode="comb_only";
defparam hilo_37_iv_2_49_.lut_mask="fdfc";
defparam hilo_37_iv_2_49_.synch_mode="off";
defparam hilo_37_iv_2_49_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_49_ (
        .combout(hilo_37_iv_2_a[49]),
        .dataa(hilo_17),
        .datab(hilo_49),
        .datac(hilo_37_iv_0_a2_0_a2[1]),
        .datad(hilo_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_49_.operation_mode="normal";
defparam hilo_37_iv_2_a_49_.output_mode="comb_only";
defparam hilo_37_iv_2_a_49_.lut_mask="7530";
defparam hilo_37_iv_2_a_49_.synch_mode="off";
defparam hilo_37_iv_2_a_49_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_57_ (
        .combout(hilo_37_iv_2[57]),
        .dataa(hilo_58),
        .datab(hilo_37_iv_2_a[57]),
        .datac(hilo_33_i_m[57]),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_57_.operation_mode="normal";
defparam hilo_37_iv_2_57_.output_mode="comb_only";
defparam hilo_37_iv_2_57_.lut_mask="fdfc";
defparam hilo_37_iv_2_57_.synch_mode="off";
defparam hilo_37_iv_2_57_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_57_ (
        .combout(hilo_37_iv_2_a[57]),
        .dataa(hilo_25),
        .datab(hilo_57),
        .datac(hilo_37_iv_0_a2_0_a2[1]),
        .datad(hilo_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_57_.operation_mode="normal";
defparam hilo_37_iv_2_a_57_.output_mode="comb_only";
defparam hilo_37_iv_2_a_57_.lut_mask="7530";
defparam hilo_37_iv_2_a_57_.synch_mode="off";
defparam hilo_37_iv_2_a_57_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_1_59_ (
        .combout(hilo_37_iv_0_1[59]),
        .dataa(hilo_27),
        .datab(hilo_37_iv_0_1_a[59]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_1_59_.operation_mode="normal";
defparam hilo_37_iv_0_1_59_.output_mode="comb_only";
defparam hilo_37_iv_0_1_59_.lut_mask="dc50";
defparam hilo_37_iv_0_1_59_.synch_mode="off";
defparam hilo_37_iv_0_1_59_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_1_a_59_ (
        .combout(hilo_37_iv_0_1_a[59]),
        .dataa(addop2),
        .datab(addnop2),
        .datac(un59_hilo_add27),
        .datad(un50_hilo_add27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_1_a_59_.operation_mode="normal";
defparam hilo_37_iv_0_1_a_59_.output_mode="comb_only";
defparam hilo_37_iv_0_1_a_59_.lut_mask="0246";
defparam hilo_37_iv_0_1_a_59_.synch_mode="off";
defparam hilo_37_iv_0_1_a_59_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_50_ (
        .combout(hilo_37_iv_2[50]),
        .dataa(hilo_51),
        .datab(hilo_37_iv_2_a[50]),
        .datac(hilo_33_i_m[50]),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_50_.operation_mode="normal";
defparam hilo_37_iv_2_50_.output_mode="comb_only";
defparam hilo_37_iv_2_50_.lut_mask="fdfc";
defparam hilo_37_iv_2_50_.synch_mode="off";
defparam hilo_37_iv_2_50_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_50_ (
        .combout(hilo_37_iv_2_a[50]),
        .dataa(hilo_18),
        .datab(hilo_50),
        .datac(hilo_37_iv_0_a2_0_a2[1]),
        .datad(hilo_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_50_.operation_mode="normal";
defparam hilo_37_iv_2_a_50_.output_mode="comb_only";
defparam hilo_37_iv_2_a_50_.lut_mask="7530";
defparam hilo_37_iv_2_a_50_.synch_mode="off";
defparam hilo_37_iv_2_a_50_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_56_ (
        .combout(hilo_37_iv_2[56]),
        .dataa(hilo_57),
        .datab(hilo_37_iv_2_a[56]),
        .datac(hilo_33_i_m[56]),
        .datad(hilo_37_iv_0_a3_0_1[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_56_.operation_mode="normal";
defparam hilo_37_iv_2_56_.output_mode="comb_only";
defparam hilo_37_iv_2_56_.lut_mask="fdfc";
defparam hilo_37_iv_2_56_.synch_mode="off";
defparam hilo_37_iv_2_56_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_56_ (
        .combout(hilo_37_iv_2_a[56]),
        .dataa(hilo_24),
        .datab(hilo_56),
        .datac(hilo_37_iv_0_a2_0_a2[1]),
        .datad(hilo_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_56_.operation_mode="normal";
defparam hilo_37_iv_2_a_56_.output_mode="comb_only";
defparam hilo_37_iv_2_a_56_.lut_mask="7530";
defparam hilo_37_iv_2_a_56_.synch_mode="off";
defparam hilo_37_iv_2_a_56_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_1_63_ (
        .combout(hilo_37_iv_0_1[63]),
        .dataa(hilo_31),
        .datab(hilo_37_iv_0_1_a[63]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_1_63_.operation_mode="normal";
defparam hilo_37_iv_0_1_63_.output_mode="comb_only";
defparam hilo_37_iv_0_1_63_.lut_mask="dc50";
defparam hilo_37_iv_0_1_63_.synch_mode="off";
defparam hilo_37_iv_0_1_63_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_1_a_63_ (
        .combout(hilo_37_iv_0_1_a[63]),
        .dataa(addop2),
        .datab(addnop2),
        .datac(un59_hilo_add31),
        .datad(un50_hilo_add31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_1_a_63_.operation_mode="normal";
defparam hilo_37_iv_0_1_a_63_.output_mode="comb_only";
defparam hilo_37_iv_0_1_a_63_.lut_mask="0246";
defparam hilo_37_iv_0_1_a_63_.synch_mode="off";
defparam hilo_37_iv_0_1_a_63_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_58_ (
        .combout(hilo_37_iv_2[58]),
        .dataa(un59_hilo_add27),
        .datab(hilo_37_iv_2_a[58]),
        .datac(hilo_33_i_m[58]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_58_.operation_mode="normal";
defparam hilo_37_iv_2_58_.output_mode="comb_only";
defparam hilo_37_iv_2_58_.lut_mask="fdfc";
defparam hilo_37_iv_2_58_.synch_mode="off";
defparam hilo_37_iv_2_58_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_2_a_58_ (
        .combout(hilo_37_iv_2_a[58]),
        .dataa(hilo_26),
        .datab(hilo_58),
        .datac(hilo_37_iv_0_a2_0_a2[1]),
        .datad(hilo_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_2_a_58_.operation_mode="normal";
defparam hilo_37_iv_2_a_58_.output_mode="comb_only";
defparam hilo_37_iv_2_a_58_.lut_mask="7530";
defparam hilo_37_iv_2_a_58_.synch_mode="off";
defparam hilo_37_iv_2_a_58_.sum_lutc_input="datac";
// @5:581
  cyclone_lcell finish_0_sqmuxa (
        .combout(finish_0_sqmuxa_i),
        .dataa(rst_c),
        .datab(finish),
        .datac(finish_0_sqmuxa_a),
        .datad(rdy_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam finish_0_sqmuxa.operation_mode="normal";
defparam finish_0_sqmuxa.output_mode="comb_only";
defparam finish_0_sqmuxa.lut_mask="ff75";
defparam finish_0_sqmuxa.synch_mode="off";
defparam finish_0_sqmuxa.sum_lutc_input="datac";
// @5:581
  cyclone_lcell finish_0_sqmuxa_a_cZ (
        .combout(finish_0_sqmuxa_a),
        .dataa(start),
        .datab(count_5),
        .datac(rdy),
        .datad(hilo25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam finish_0_sqmuxa_a_cZ.operation_mode="normal";
defparam finish_0_sqmuxa_a_cZ.output_mode="comb_only";
defparam finish_0_sqmuxa_a_cZ.lut_mask="0008";
defparam finish_0_sqmuxa_a_cZ.synch_mode="off";
defparam finish_0_sqmuxa_a_cZ.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_3_ (
        .combout(hilo_8_Z[3]),
        .dataa(VCC),
        .datab(hilo_3),
        .datac(alu_func_o_0),
        .datad(a_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_3_.operation_mode="normal";
defparam hilo_8_3_.output_mode="comb_only";
defparam hilo_8_3_.lut_mask="cfc0";
defparam hilo_8_3_.synch_mode="off";
defparam hilo_8_3_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_7_ (
        .combout(hilo_8_Z[7]),
        .dataa(VCC),
        .datab(hilo_7),
        .datac(alu_func_o_0),
        .datad(a_o_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_7_.operation_mode="normal";
defparam hilo_8_7_.output_mode="comb_only";
defparam hilo_8_7_.lut_mask="cfc0";
defparam hilo_8_7_.synch_mode="off";
defparam hilo_8_7_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_8_ (
        .combout(hilo_8_Z[8]),
        .dataa(VCC),
        .datab(hilo_8),
        .datac(alu_func_o_0),
        .datad(a_o_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_8_.operation_mode="normal";
defparam hilo_8_8_.output_mode="comb_only";
defparam hilo_8_8_.lut_mask="cfc0";
defparam hilo_8_8_.synch_mode="off";
defparam hilo_8_8_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_11_ (
        .combout(hilo_8_Z[11]),
        .dataa(VCC),
        .datab(hilo_11),
        .datac(alu_func_o_0),
        .datad(a_o_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_11_.operation_mode="normal";
defparam hilo_8_11_.output_mode="comb_only";
defparam hilo_8_11_.lut_mask="cfc0";
defparam hilo_8_11_.synch_mode="off";
defparam hilo_8_11_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_12_ (
        .combout(hilo_8_Z[12]),
        .dataa(VCC),
        .datab(hilo_12),
        .datac(alu_func_o_0),
        .datad(a_o_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_12_.operation_mode="normal";
defparam hilo_8_12_.output_mode="comb_only";
defparam hilo_8_12_.lut_mask="cfc0";
defparam hilo_8_12_.synch_mode="off";
defparam hilo_8_12_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_13_ (
        .combout(hilo_8_Z[13]),
        .dataa(VCC),
        .datab(hilo_13),
        .datac(alu_func_o_0),
        .datad(a_o_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_13_.operation_mode="normal";
defparam hilo_8_13_.output_mode="comb_only";
defparam hilo_8_13_.lut_mask="cfc0";
defparam hilo_8_13_.synch_mode="off";
defparam hilo_8_13_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_14_ (
        .combout(hilo_8_Z[14]),
        .dataa(VCC),
        .datab(hilo_14),
        .datac(alu_func_o_0),
        .datad(a_o_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_14_.operation_mode="normal";
defparam hilo_8_14_.output_mode="comb_only";
defparam hilo_8_14_.lut_mask="cfc0";
defparam hilo_8_14_.synch_mode="off";
defparam hilo_8_14_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_15_ (
        .combout(hilo_8_Z[15]),
        .dataa(VCC),
        .datab(hilo_15),
        .datac(alu_func_o_0),
        .datad(a_o_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_15_.operation_mode="normal";
defparam hilo_8_15_.output_mode="comb_only";
defparam hilo_8_15_.lut_mask="cfc0";
defparam hilo_8_15_.synch_mode="off";
defparam hilo_8_15_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_18_ (
        .combout(hilo_8_Z[18]),
        .dataa(hilo_18),
        .datab(alu_func_o_0),
        .datac(a_o_18),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_18_.operation_mode="normal";
defparam hilo_8_18_.output_mode="comb_only";
defparam hilo_8_18_.lut_mask="b8b8";
defparam hilo_8_18_.synch_mode="off";
defparam hilo_8_18_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_20_ (
        .combout(hilo_8_Z[20]),
        .dataa(VCC),
        .datab(hilo_20),
        .datac(alu_func_o_0),
        .datad(a_o_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_20_.operation_mode="normal";
defparam hilo_8_20_.output_mode="comb_only";
defparam hilo_8_20_.lut_mask="cfc0";
defparam hilo_8_20_.synch_mode="off";
defparam hilo_8_20_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_22_ (
        .combout(hilo_8_Z[22]),
        .dataa(VCC),
        .datab(hilo_22),
        .datac(alu_func_o_0),
        .datad(a_o_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_22_.operation_mode="normal";
defparam hilo_8_22_.output_mode="comb_only";
defparam hilo_8_22_.lut_mask="cfc0";
defparam hilo_8_22_.synch_mode="off";
defparam hilo_8_22_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_24_ (
        .combout(hilo_8_Z[24]),
        .dataa(VCC),
        .datab(hilo_24),
        .datac(alu_func_o_0),
        .datad(a_o_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_24_.operation_mode="normal";
defparam hilo_8_24_.output_mode="comb_only";
defparam hilo_8_24_.lut_mask="cfc0";
defparam hilo_8_24_.synch_mode="off";
defparam hilo_8_24_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_25_ (
        .combout(hilo_8_Z[25]),
        .dataa(VCC),
        .datab(hilo_25),
        .datac(alu_func_o_0),
        .datad(a_o_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_25_.operation_mode="normal";
defparam hilo_8_25_.output_mode="comb_only";
defparam hilo_8_25_.lut_mask="cfc0";
defparam hilo_8_25_.synch_mode="off";
defparam hilo_8_25_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_27_ (
        .combout(hilo_8_Z[27]),
        .dataa(VCC),
        .datab(hilo_27),
        .datac(alu_func_o_0),
        .datad(a_o_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_27_.operation_mode="normal";
defparam hilo_8_27_.output_mode="comb_only";
defparam hilo_8_27_.lut_mask="cfc0";
defparam hilo_8_27_.synch_mode="off";
defparam hilo_8_27_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_28_ (
        .combout(hilo_8_Z[28]),
        .dataa(VCC),
        .datab(hilo_28),
        .datac(alu_func_o_0),
        .datad(a_o_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_28_.operation_mode="normal";
defparam hilo_8_28_.output_mode="comb_only";
defparam hilo_8_28_.lut_mask="cfc0";
defparam hilo_8_28_.synch_mode="off";
defparam hilo_8_28_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a3_0_34_ (
        .combout(hilo_37_iv_0_a3_0[34]),
        .dataa(VCC),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(a_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a3_0_34_.operation_mode="normal";
defparam hilo_37_iv_0_a3_0_34_.output_mode="comb_only";
defparam hilo_37_iv_0_a3_0_34_.lut_mask="00c0";
defparam hilo_37_iv_0_a3_0_34_.synch_mode="off";
defparam hilo_37_iv_0_a3_0_34_.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_NE_12_cZ (
        .combout(eqop2_2_NE_12),
        .dataa(eqop2_2_NE_126),
        .datab(eqop2_2_NE_125),
        .datac(eqop2_2_NE_12_a),
        .datad(eqop2_2_32),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_12_cZ.operation_mode="normal";
defparam eqop2_2_NE_12_cZ.output_mode="comb_only";
defparam eqop2_2_NE_12_cZ.lut_mask="ffef";
defparam eqop2_2_NE_12_cZ.synch_mode="off";
defparam eqop2_2_NE_12_cZ.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_NE_12_a_cZ (
        .combout(eqop2_2_NE_12_a),
        .dataa(op2_reged[16]),
        .datab(hilo_48),
        .datac(eqop2_2_0),
        .datad(eqop2_2_NE_124_i_a3_i),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_12_a_cZ.operation_mode="normal";
defparam eqop2_2_NE_12_a_cZ.output_mode="comb_only";
defparam eqop2_2_NE_12_a_cZ.lut_mask="0009";
defparam eqop2_2_NE_12_a_cZ.synch_mode="off";
defparam eqop2_2_NE_12_a_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_13_cZ (
        .combout(eqnop2_2_NE_13),
        .dataa(eqnop2_2_NE_133_0),
        .datab(eqnop2_2_NE_134),
        .datac(eqnop2_2_NE_4),
        .datad(eqnop2_2_NE_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_13_cZ.operation_mode="normal";
defparam eqnop2_2_NE_13_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_13_cZ.lut_mask="fffe";
defparam eqnop2_2_NE_13_cZ.synch_mode="off";
defparam eqnop2_2_NE_13_cZ.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_6_ (
        .combout(hilo_8_Z[6]),
        .dataa(VCC),
        .datab(hilo_6),
        .datac(alu_func_o_0),
        .datad(a_o_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_6_.operation_mode="normal";
defparam hilo_8_6_.output_mode="comb_only";
defparam hilo_8_6_.lut_mask="cfc0";
defparam hilo_8_6_.synch_mode="off";
defparam hilo_8_6_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_5_ (
        .combout(hilo_8_Z[5]),
        .dataa(VCC),
        .datab(hilo_5),
        .datac(alu_func_o_0),
        .datad(a_o_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_5_.operation_mode="normal";
defparam hilo_8_5_.output_mode="comb_only";
defparam hilo_8_5_.lut_mask="cfc0";
defparam hilo_8_5_.synch_mode="off";
defparam hilo_8_5_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_4_ (
        .combout(hilo_8_Z[4]),
        .dataa(VCC),
        .datab(hilo_4),
        .datac(alu_func_o_0),
        .datad(a_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_4_.operation_mode="normal";
defparam hilo_8_4_.output_mode="comb_only";
defparam hilo_8_4_.lut_mask="cfc0";
defparam hilo_8_4_.synch_mode="off";
defparam hilo_8_4_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_1_0_ (
        .combout(hilo_37_iv_1[0]),
        .dataa(op2_sign_reged),
        .datab(hilo_24_add32),
        .datac(hilo_2_sqmuxa),
        .datad(hilo_37_iv_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_1_0_.operation_mode="normal";
defparam hilo_37_iv_1_0_.output_mode="comb_only";
defparam hilo_37_iv_1_0_.lut_mask="ff90";
defparam hilo_37_iv_1_0_.synch_mode="off";
defparam hilo_37_iv_1_0_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_60_ (
        .combout(hilo_37_iv_0_0[60]),
        .dataa(hilo_28),
        .datab(un59_hilo_add28),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_37_iv_i_0_a3_1_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_60_.operation_mode="normal";
defparam hilo_37_iv_0_0_60_.output_mode="comb_only";
defparam hilo_37_iv_0_0_60_.lut_mask="7350";
defparam hilo_37_iv_0_0_60_.synch_mode="off";
defparam hilo_37_iv_0_0_60_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_37_ (
        .combout(hilo_37_iv_0_0[37]),
        .dataa(hilo_5),
        .datab(un59_hilo_add5),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_37_iv_i_0_a3_1_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_37_.operation_mode="normal";
defparam hilo_37_iv_0_0_37_.output_mode="comb_only";
defparam hilo_37_iv_0_0_37_.lut_mask="7350";
defparam hilo_37_iv_0_0_37_.synch_mode="off";
defparam hilo_37_iv_0_0_37_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_51_ (
        .combout(hilo_37_iv_0[51]),
        .dataa(hilo_19),
        .datab(hilo_33_3[51]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_51_.operation_mode="normal";
defparam hilo_37_iv_0_51_.output_mode="comb_only";
defparam hilo_37_iv_0_51_.lut_mask="7350";
defparam hilo_37_iv_0_51_.synch_mode="off";
defparam hilo_37_iv_0_51_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_48_ (
        .combout(hilo_37_iv_0[48]),
        .dataa(hilo_16),
        .datab(hilo_33_3[48]),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_48_.operation_mode="normal";
defparam hilo_37_iv_0_48_.output_mode="comb_only";
defparam hilo_37_iv_0_48_.lut_mask="7350";
defparam hilo_37_iv_0_48_.synch_mode="off";
defparam hilo_37_iv_0_48_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_33_ (
        .combout(hilo_37_iv_0_0[33]),
        .dataa(hilo_1),
        .datab(un59_hilo_add1),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_37_iv_i_0_a3_1_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_33_.operation_mode="normal";
defparam hilo_37_iv_0_0_33_.output_mode="comb_only";
defparam hilo_37_iv_0_0_33_.lut_mask="7350";
defparam hilo_37_iv_0_0_33_.synch_mode="off";
defparam hilo_37_iv_0_0_33_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_1_55_ (
        .combout(hilo_37_iv_1[55]),
        .dataa(hilo_5_i_m_0_a2_0[55]),
        .datab(hilo_33_3[55]),
        .datac(hilo_i_m_0_a2[23]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_1_55_.operation_mode="normal";
defparam hilo_37_iv_1_55_.output_mode="comb_only";
defparam hilo_37_iv_1_55_.lut_mask="fbfa";
defparam hilo_37_iv_1_55_.synch_mode="off";
defparam hilo_37_iv_1_55_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_62_ (
        .combout(hilo_37_iv_0_0[62]),
        .dataa(hilo_30),
        .datab(un59_hilo_add30),
        .datac(hilo_0_sqmuxa),
        .datad(hilo_37_iv_i_0_a3_1_0_a2[64]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_62_.operation_mode="normal";
defparam hilo_37_iv_0_0_62_.output_mode="comb_only";
defparam hilo_37_iv_0_0_62_.lut_mask="7350";
defparam hilo_37_iv_0_0_62_.synch_mode="off";
defparam hilo_37_iv_0_0_62_.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_30_cZ (
        .combout(eqz_2_30),
        .dataa(eqz_2_21),
        .datab(eqz_2_22),
        .datac(eqz_2_23),
        .datad(eqz_2_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_30_cZ.operation_mode="normal";
defparam eqz_2_30_cZ.output_mode="comb_only";
defparam eqz_2_30_cZ.lut_mask="8000";
defparam eqz_2_30_cZ.synch_mode="off";
defparam eqz_2_30_cZ.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_27_cZ (
        .combout(eqz_2_27),
        .dataa(hilo_33),
        .datab(hilo_38),
        .datac(eqz_2_16),
        .datad(eqz_2_27_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_27_cZ.operation_mode="normal";
defparam eqz_2_27_cZ.output_mode="comb_only";
defparam eqz_2_27_cZ.lut_mask="1000";
defparam eqz_2_27_cZ.synch_mode="off";
defparam eqz_2_27_cZ.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_27_a_cZ (
        .combout(eqz_2_27_a),
        .dataa(hilo_55),
        .datab(hilo_56),
        .datac(hilo_52),
        .datad(hilo_49),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_27_a_cZ.operation_mode="normal";
defparam eqz_2_27_a_cZ.output_mode="comb_only";
defparam eqz_2_27_a_cZ.lut_mask="0001";
defparam eqz_2_27_a_cZ.synch_mode="off";
defparam eqz_2_27_a_cZ.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_3_i_48_ (
        .combout(hilo_15_3_i[48]),
        .dataa(hilo_49),
        .datab(hilo_15_1[63]),
        .datac(hilo_15_3_i_a[48]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_3_i_48_.operation_mode="normal";
defparam hilo_15_3_i_48_.output_mode="comb_only";
defparam hilo_15_3_i_48_.lut_mask="f1f1";
defparam hilo_15_3_i_48_.synch_mode="off";
defparam hilo_15_3_i_48_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_3_i_a_48_ (
        .combout(hilo_15_3_i_a[48]),
        .dataa(sub_or_yn),
        .datab(hilo_0),
        .datac(un59_hilo_add17),
        .datad(un50_hilo_add17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_3_i_a_48_.operation_mode="normal";
defparam hilo_15_3_i_a_48_.output_mode="comb_only";
defparam hilo_15_3_i_a_48_.lut_mask="0246";
defparam hilo_15_3_i_a_48_.synch_mode="off";
defparam hilo_15_3_i_a_48_.sum_lutc_input="datac";
// @5:572
  cyclone_lcell un24_res_cZ (
        .combout(un24_res),
        .dataa(alu_func_o_4),
        .datab(un24_res_1),
        .datac(alu_func_o_3),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un24_res_cZ.operation_mode="normal";
defparam un24_res_cZ.output_mode="comb_only";
defparam un24_res_cZ.lut_mask="0004";
defparam un24_res_cZ.synch_mode="off";
defparam un24_res_cZ.sum_lutc_input="datac";
// @5:603
  cyclone_lcell un1_rdy_0_sqmuxa_3 (
        .combout(un1_rdy_0_sqmuxa_3_combout),
        .dataa(overflow),
        .datab(count_5),
        .datac(addnop2110),
        .datad(rdy_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_rdy_0_sqmuxa_3.operation_mode="normal";
defparam un1_rdy_0_sqmuxa_3.output_mode="comb_only";
defparam un1_rdy_0_sqmuxa_3.lut_mask="ff10";
defparam un1_rdy_0_sqmuxa_3.synch_mode="off";
defparam un1_rdy_0_sqmuxa_3.sum_lutc_input="datac";
// @5:581
  cyclone_lcell addop2_0_sqmuxa_1 (
        .combout(addop2_0_sqmuxa_1_i),
        .dataa(rst_c),
        .datab(finish),
        .datac(count_5),
        .datad(addnop2110),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam addop2_0_sqmuxa_1.operation_mode="normal";
defparam addop2_0_sqmuxa_1.output_mode="comb_only";
defparam addop2_0_sqmuxa_1.lut_mask="7555";
defparam addop2_0_sqmuxa_1.synch_mode="off";
defparam addop2_0_sqmuxa_1.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_22_i_m_38_ (
        .combout(hilo_22_i_m[38]),
        .dataa(sign),
        .datab(hilo_19_Z[39]),
        .datac(hilo_15_3[38]),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_i_m_38_.operation_mode="normal";
defparam hilo_22_i_m_38_.output_mode="comb_only";
defparam hilo_22_i_m_38_.lut_mask="1b00";
defparam hilo_22_i_m_38_.synch_mode="off";
defparam hilo_22_i_m_38_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a2_63_ (
        .combout(hilo_37_iv_0_a2[63]),
        .dataa(sign),
        .datab(hilo_37_iv_0_a2_a[63]),
        .datac(hilo_15_3[63]),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a2_63_.operation_mode="normal";
defparam hilo_37_iv_0_a2_63_.output_mode="comb_only";
defparam hilo_37_iv_0_a2_63_.lut_mask="4e00";
defparam hilo_37_iv_0_a2_63_.synch_mode="off";
defparam hilo_37_iv_0_a2_63_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a2_a_63_ (
        .combout(hilo_37_iv_0_a2_a[63]),
        .dataa(hilo_0),
        .datab(hilo_64),
        .datac(un59_hilo_add32),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a2_a_63_.operation_mode="normal";
defparam hilo_37_iv_0_a2_a_63_.output_mode="comb_only";
defparam hilo_37_iv_0_a2_a_63_.lut_mask="1b1b";
defparam hilo_37_iv_0_a2_a_63_.synch_mode="off";
defparam hilo_37_iv_0_a2_a_63_.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_1_sqmuxa_1_cZ (
        .combout(hilo_1_sqmuxa_1),
        .dataa(overflow),
        .datab(mul),
        .datac(count_5),
        .datad(addnop2110),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_1_sqmuxa_1_cZ.operation_mode="normal";
defparam hilo_1_sqmuxa_1_cZ.output_mode="comb_only";
defparam hilo_1_sqmuxa_1_cZ.lut_mask="0400";
defparam hilo_1_sqmuxa_1_cZ.synch_mode="off";
defparam hilo_1_sqmuxa_1_cZ.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_2_sqmuxa_cZ (
        .combout(hilo_2_sqmuxa),
        .dataa(overflow),
        .datab(mul),
        .datac(count_5),
        .datad(addnop2110),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_2_sqmuxa_cZ.operation_mode="normal";
defparam hilo_2_sqmuxa_cZ.output_mode="comb_only";
defparam hilo_2_sqmuxa_cZ.lut_mask="0100";
defparam hilo_2_sqmuxa_cZ.synch_mode="off";
defparam hilo_2_sqmuxa_cZ.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_NE_9_cZ (
        .combout(eqop2_2_NE_9),
        .dataa(eqop2_2_NE_113_0_0),
        .datab(eqop2_2_NE_112_0),
        .datac(eqop2_2_NE_114),
        .datad(eqop2_2_NE_9_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_9_cZ.operation_mode="normal";
defparam eqop2_2_NE_9_cZ.output_mode="comb_only";
defparam eqop2_2_NE_9_cZ.lut_mask="fffe";
defparam eqop2_2_NE_9_cZ.synch_mode="off";
defparam eqop2_2_NE_9_cZ.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_NE_9_a_cZ (
        .combout(eqop2_2_NE_9_a),
        .dataa(op2_reged[4]),
        .datab(op2_reged[20]),
        .datac(hilo_36),
        .datad(hilo_52),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_9_a_cZ.operation_mode="normal";
defparam eqop2_2_NE_9_a_cZ.output_mode="comb_only";
defparam eqop2_2_NE_9_a_cZ.lut_mask="7bde";
defparam eqop2_2_NE_9_a_cZ.synch_mode="off";
defparam eqop2_2_NE_9_a_cZ.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_NE_10_cZ (
        .combout(eqop2_2_NE_10),
        .dataa(eqop2_2_NE_118),
        .datab(eqop2_2_NE_119),
        .datac(eqop2_2_NE_116_0),
        .datad(eqop2_2_NE_117_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_10_cZ.operation_mode="normal";
defparam eqop2_2_NE_10_cZ.output_mode="comb_only";
defparam eqop2_2_NE_10_cZ.lut_mask="fffe";
defparam eqop2_2_NE_10_cZ.synch_mode="off";
defparam eqop2_2_NE_10_cZ.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_NE_11_cZ (
        .combout(eqop2_2_NE_11),
        .dataa(eqop2_2_NE_120),
        .datab(eqop2_2_NE_123),
        .datac(eqop2_2_NE_122),
        .datad(eqop2_2_NE_11_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_11_cZ.operation_mode="normal";
defparam eqop2_2_NE_11_cZ.output_mode="comb_only";
defparam eqop2_2_NE_11_cZ.lut_mask="fffe";
defparam eqop2_2_NE_11_cZ.synch_mode="off";
defparam eqop2_2_NE_11_cZ.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_NE_11_a_cZ (
        .combout(eqop2_2_NE_11_a),
        .dataa(op2_reged[26]),
        .datab(op2_reged[10]),
        .datac(hilo_58),
        .datad(hilo_42),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_11_a_cZ.operation_mode="normal";
defparam eqop2_2_NE_11_a_cZ.output_mode="comb_only";
defparam eqop2_2_NE_11_a_cZ.lut_mask="7bde";
defparam eqop2_2_NE_11_a_cZ.synch_mode="off";
defparam eqop2_2_NE_11_a_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_8_cZ (
        .combout(eqnop2_2_NE_8),
        .dataa(hilo_64),
        .datab(nop2_reged[32]),
        .datac(eqnop2_2_NE_8_a),
        .datad(eqnop2_2_NE_143),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_8_cZ.operation_mode="normal";
defparam eqnop2_2_NE_8_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_8_cZ.lut_mask="fff6";
defparam eqnop2_2_NE_8_cZ.synch_mode="off";
defparam eqnop2_2_NE_8_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_8_a_cZ (
        .combout(eqnop2_2_NE_8_a),
        .dataa(op2_reged[0]),
        .datab(hilo_32),
        .datac(hilo_48),
        .datad(nop2_reged[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_8_a_cZ.operation_mode="normal";
defparam eqnop2_2_NE_8_a_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_8_a_cZ.lut_mask="6ff6";
defparam eqnop2_2_NE_8_a_cZ.synch_mode="off";
defparam eqnop2_2_NE_8_a_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_9_cZ (
        .combout(eqnop2_2_NE_9),
        .dataa(eqnop2_2_NE_129),
        .datab(eqnop2_2_NE_130_0_0),
        .datac(eqnop2_2_NE_131),
        .datad(eqnop2_2_NE_9_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_9_cZ.operation_mode="normal";
defparam eqnop2_2_NE_9_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_9_cZ.lut_mask="fffe";
defparam eqnop2_2_NE_9_cZ.synch_mode="off";
defparam eqnop2_2_NE_9_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_9_a_cZ (
        .combout(eqnop2_2_NE_9_a),
        .dataa(hilo_36),
        .datab(hilo_52),
        .datac(nop2_reged[4]),
        .datad(nop2_reged[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_9_a_cZ.operation_mode="normal";
defparam eqnop2_2_NE_9_a_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_9_a_cZ.lut_mask="7bde";
defparam eqnop2_2_NE_9_a_cZ.synch_mode="off";
defparam eqnop2_2_NE_9_a_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_11_cZ (
        .combout(eqnop2_2_NE_11),
        .dataa(eqnop2_2_NE_137),
        .datab(eqnop2_2_NE_138),
        .datac(eqnop2_2_NE_139),
        .datad(eqnop2_2_NE_11_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_11_cZ.operation_mode="normal";
defparam eqnop2_2_NE_11_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_11_cZ.lut_mask="fffe";
defparam eqnop2_2_NE_11_cZ.synch_mode="off";
defparam eqnop2_2_NE_11_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_11_a_cZ (
        .combout(eqnop2_2_NE_11_a),
        .dataa(hilo_60),
        .datab(hilo_44),
        .datac(nop2_reged[12]),
        .datad(nop2_reged[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_11_a_cZ.operation_mode="normal";
defparam eqnop2_2_NE_11_a_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_11_a_cZ.lut_mask="7dbe";
defparam eqnop2_2_NE_11_a_cZ.synch_mode="off";
defparam eqnop2_2_NE_11_a_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_ (
        .combout(hilo_37_iv_0[0]),
        .dataa(hilo_1),
        .datab(hilo_37_iv_0_a[0]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_.operation_mode="normal";
defparam hilo_37_iv_0_0_.output_mode="comb_only";
defparam hilo_37_iv_0_0_.lut_mask="eac0";
defparam hilo_37_iv_0_0_.synch_mode="off";
defparam hilo_37_iv_0_0_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_0_ (
        .combout(hilo_37_iv_0_a[0]),
        .dataa(add1),
        .datab(hilo_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_0_.operation_mode="normal";
defparam hilo_37_iv_0_a_0_.output_mode="comb_only";
defparam hilo_37_iv_0_a_0_.lut_mask="6666";
defparam hilo_37_iv_0_a_0_.synch_mode="off";
defparam hilo_37_iv_0_a_0_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_1_ (
        .combout(hilo_37_iv_0_0[1]),
        .dataa(hilo_1),
        .datab(un134_hilo_combout[1]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_1_.operation_mode="normal";
defparam hilo_37_iv_0_0_1_.output_mode="comb_only";
defparam hilo_37_iv_0_0_1_.lut_mask="eca0";
defparam hilo_37_iv_0_0_1_.synch_mode="off";
defparam hilo_37_iv_0_0_1_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_2_ (
        .combout(hilo_37_iv_0_0[2]),
        .dataa(hilo_2),
        .datab(un134_hilo_combout[2]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_2_.operation_mode="normal";
defparam hilo_37_iv_0_0_2_.output_mode="comb_only";
defparam hilo_37_iv_0_0_2_.lut_mask="eca0";
defparam hilo_37_iv_0_0_2_.synch_mode="off";
defparam hilo_37_iv_0_0_2_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_3_ (
        .combout(hilo_37_iv_0[3]),
        .dataa(hilo_4),
        .datab(hilo_37_iv_0_a[3]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_3_.operation_mode="normal";
defparam hilo_37_iv_0_3_.output_mode="comb_only";
defparam hilo_37_iv_0_3_.lut_mask="ba30";
defparam hilo_37_iv_0_3_.synch_mode="off";
defparam hilo_37_iv_0_3_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_3_ (
        .combout(hilo_37_iv_0_a[3]),
        .dataa(hilo_3),
        .datab(add1),
        .datac(un134_hilo_combout[3]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_3_.operation_mode="normal";
defparam hilo_37_iv_0_a_3_.output_mode="comb_only";
defparam hilo_37_iv_0_a_3_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_3_.synch_mode="off";
defparam hilo_37_iv_0_a_3_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_4_ (
        .combout(hilo_37_iv_0[4]),
        .dataa(hilo_5),
        .datab(hilo_37_iv_0_a[4]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_4_.operation_mode="normal";
defparam hilo_37_iv_0_4_.output_mode="comb_only";
defparam hilo_37_iv_0_4_.lut_mask="ba30";
defparam hilo_37_iv_0_4_.synch_mode="off";
defparam hilo_37_iv_0_4_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_4_ (
        .combout(hilo_37_iv_0_a[4]),
        .dataa(hilo_4),
        .datab(add1),
        .datac(un134_hilo_combout[4]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_4_.operation_mode="normal";
defparam hilo_37_iv_0_a_4_.output_mode="comb_only";
defparam hilo_37_iv_0_a_4_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_4_.synch_mode="off";
defparam hilo_37_iv_0_a_4_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_11_ (
        .combout(hilo_37_iv_0[11]),
        .dataa(hilo_12),
        .datab(hilo_37_iv_0_a[11]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_11_.operation_mode="normal";
defparam hilo_37_iv_0_11_.output_mode="comb_only";
defparam hilo_37_iv_0_11_.lut_mask="ba30";
defparam hilo_37_iv_0_11_.synch_mode="off";
defparam hilo_37_iv_0_11_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_11_ (
        .combout(hilo_37_iv_0_a[11]),
        .dataa(hilo_11),
        .datab(add1),
        .datac(un134_hilo_combout[11]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_11_.operation_mode="normal";
defparam hilo_37_iv_0_a_11_.output_mode="comb_only";
defparam hilo_37_iv_0_a_11_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_11_.synch_mode="off";
defparam hilo_37_iv_0_a_11_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_22_ (
        .combout(hilo_37_iv_0[22]),
        .dataa(hilo_23),
        .datab(hilo_37_iv_0_a[22]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_22_.operation_mode="normal";
defparam hilo_37_iv_0_22_.output_mode="comb_only";
defparam hilo_37_iv_0_22_.lut_mask="ba30";
defparam hilo_37_iv_0_22_.synch_mode="off";
defparam hilo_37_iv_0_22_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_22_ (
        .combout(hilo_37_iv_0_a[22]),
        .dataa(hilo_22),
        .datab(add1),
        .datac(un134_hilo_combout[22]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_22_.operation_mode="normal";
defparam hilo_37_iv_0_a_22_.output_mode="comb_only";
defparam hilo_37_iv_0_a_22_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_22_.synch_mode="off";
defparam hilo_37_iv_0_a_22_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_24_ (
        .combout(hilo_37_iv_0[24]),
        .dataa(hilo_25),
        .datab(hilo_37_iv_0_a[24]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_24_.operation_mode="normal";
defparam hilo_37_iv_0_24_.output_mode="comb_only";
defparam hilo_37_iv_0_24_.lut_mask="ba30";
defparam hilo_37_iv_0_24_.synch_mode="off";
defparam hilo_37_iv_0_24_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_24_ (
        .combout(hilo_37_iv_0_a[24]),
        .dataa(hilo_24),
        .datab(add1),
        .datac(un134_hilo_combout[24]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_24_.operation_mode="normal";
defparam hilo_37_iv_0_a_24_.output_mode="comb_only";
defparam hilo_37_iv_0_a_24_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_24_.synch_mode="off";
defparam hilo_37_iv_0_a_24_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_13_ (
        .combout(hilo_37_iv_0[13]),
        .dataa(hilo_14),
        .datab(hilo_37_iv_0_a[13]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_13_.operation_mode="normal";
defparam hilo_37_iv_0_13_.output_mode="comb_only";
defparam hilo_37_iv_0_13_.lut_mask="ba30";
defparam hilo_37_iv_0_13_.synch_mode="off";
defparam hilo_37_iv_0_13_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_13_ (
        .combout(hilo_37_iv_0_a[13]),
        .dataa(hilo_13),
        .datab(add1),
        .datac(un134_hilo_combout[13]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_13_.operation_mode="normal";
defparam hilo_37_iv_0_a_13_.output_mode="comb_only";
defparam hilo_37_iv_0_a_13_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_13_.synch_mode="off";
defparam hilo_37_iv_0_a_13_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_28_ (
        .combout(hilo_37_iv_0[28]),
        .dataa(hilo_29),
        .datab(hilo_37_iv_0_a[28]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_28_.operation_mode="normal";
defparam hilo_37_iv_0_28_.output_mode="comb_only";
defparam hilo_37_iv_0_28_.lut_mask="ba30";
defparam hilo_37_iv_0_28_.synch_mode="off";
defparam hilo_37_iv_0_28_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_28_ (
        .combout(hilo_37_iv_0_a[28]),
        .dataa(hilo_28),
        .datab(add1),
        .datac(un134_hilo_combout[28]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_28_.operation_mode="normal";
defparam hilo_37_iv_0_a_28_.output_mode="comb_only";
defparam hilo_37_iv_0_a_28_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_28_.synch_mode="off";
defparam hilo_37_iv_0_a_28_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_27_ (
        .combout(hilo_37_iv_0[27]),
        .dataa(hilo_28),
        .datab(hilo_37_iv_0_a[27]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_27_.operation_mode="normal";
defparam hilo_37_iv_0_27_.output_mode="comb_only";
defparam hilo_37_iv_0_27_.lut_mask="ba30";
defparam hilo_37_iv_0_27_.synch_mode="off";
defparam hilo_37_iv_0_27_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_27_ (
        .combout(hilo_37_iv_0_a[27]),
        .dataa(hilo_27),
        .datab(add1),
        .datac(un134_hilo_combout[27]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_27_.operation_mode="normal";
defparam hilo_37_iv_0_a_27_.output_mode="comb_only";
defparam hilo_37_iv_0_a_27_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_27_.synch_mode="off";
defparam hilo_37_iv_0_a_27_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_7_ (
        .combout(hilo_37_iv_0[7]),
        .dataa(hilo_8),
        .datab(hilo_37_iv_0_a[7]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_7_.operation_mode="normal";
defparam hilo_37_iv_0_7_.output_mode="comb_only";
defparam hilo_37_iv_0_7_.lut_mask="ba30";
defparam hilo_37_iv_0_7_.synch_mode="off";
defparam hilo_37_iv_0_7_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_7_ (
        .combout(hilo_37_iv_0_a[7]),
        .dataa(hilo_7),
        .datab(add1),
        .datac(un134_hilo_combout[7]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_7_.operation_mode="normal";
defparam hilo_37_iv_0_a_7_.output_mode="comb_only";
defparam hilo_37_iv_0_a_7_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_7_.synch_mode="off";
defparam hilo_37_iv_0_a_7_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_20_ (
        .combout(hilo_37_iv_0[20]),
        .dataa(hilo_21),
        .datab(hilo_37_iv_0_a[20]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_20_.operation_mode="normal";
defparam hilo_37_iv_0_20_.output_mode="comb_only";
defparam hilo_37_iv_0_20_.lut_mask="ba30";
defparam hilo_37_iv_0_20_.synch_mode="off";
defparam hilo_37_iv_0_20_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_20_ (
        .combout(hilo_37_iv_0_a[20]),
        .dataa(hilo_20),
        .datab(add1),
        .datac(un134_hilo_combout[20]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_20_.operation_mode="normal";
defparam hilo_37_iv_0_a_20_.output_mode="comb_only";
defparam hilo_37_iv_0_a_20_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_20_.synch_mode="off";
defparam hilo_37_iv_0_a_20_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_6_ (
        .combout(hilo_37_iv_0[6]),
        .dataa(hilo_7),
        .datab(hilo_37_iv_0_a[6]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_6_.operation_mode="normal";
defparam hilo_37_iv_0_6_.output_mode="comb_only";
defparam hilo_37_iv_0_6_.lut_mask="ba30";
defparam hilo_37_iv_0_6_.synch_mode="off";
defparam hilo_37_iv_0_6_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_6_ (
        .combout(hilo_37_iv_0_a[6]),
        .dataa(hilo_6),
        .datab(add1),
        .datac(un134_hilo_combout[6]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_6_.operation_mode="normal";
defparam hilo_37_iv_0_a_6_.output_mode="comb_only";
defparam hilo_37_iv_0_a_6_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_6_.synch_mode="off";
defparam hilo_37_iv_0_a_6_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_8_ (
        .combout(hilo_37_iv_0[8]),
        .dataa(hilo_9),
        .datab(hilo_37_iv_0_a[8]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_8_.operation_mode="normal";
defparam hilo_37_iv_0_8_.output_mode="comb_only";
defparam hilo_37_iv_0_8_.lut_mask="ba30";
defparam hilo_37_iv_0_8_.synch_mode="off";
defparam hilo_37_iv_0_8_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_8_ (
        .combout(hilo_37_iv_0_a[8]),
        .dataa(hilo_8),
        .datab(add1),
        .datac(un134_hilo_combout[8]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_8_.operation_mode="normal";
defparam hilo_37_iv_0_a_8_.output_mode="comb_only";
defparam hilo_37_iv_0_a_8_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_8_.synch_mode="off";
defparam hilo_37_iv_0_a_8_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_15_ (
        .combout(hilo_37_iv_0[15]),
        .dataa(hilo_16),
        .datab(hilo_37_iv_0_a[15]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_15_.operation_mode="normal";
defparam hilo_37_iv_0_15_.output_mode="comb_only";
defparam hilo_37_iv_0_15_.lut_mask="ba30";
defparam hilo_37_iv_0_15_.synch_mode="off";
defparam hilo_37_iv_0_15_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_15_ (
        .combout(hilo_37_iv_0_a[15]),
        .dataa(hilo_15),
        .datab(add1),
        .datac(un134_hilo_combout[15]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_15_.operation_mode="normal";
defparam hilo_37_iv_0_a_15_.output_mode="comb_only";
defparam hilo_37_iv_0_a_15_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_15_.synch_mode="off";
defparam hilo_37_iv_0_a_15_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_25_ (
        .combout(hilo_37_iv_0[25]),
        .dataa(hilo_26),
        .datab(hilo_37_iv_0_a[25]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_25_.operation_mode="normal";
defparam hilo_37_iv_0_25_.output_mode="comb_only";
defparam hilo_37_iv_0_25_.lut_mask="ba30";
defparam hilo_37_iv_0_25_.synch_mode="off";
defparam hilo_37_iv_0_25_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_25_ (
        .combout(hilo_37_iv_0_a[25]),
        .dataa(hilo_25),
        .datab(add1),
        .datac(un134_hilo_combout[25]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_25_.operation_mode="normal";
defparam hilo_37_iv_0_a_25_.output_mode="comb_only";
defparam hilo_37_iv_0_a_25_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_25_.synch_mode="off";
defparam hilo_37_iv_0_a_25_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_14_ (
        .combout(hilo_37_iv_0[14]),
        .dataa(hilo_15),
        .datab(hilo_37_iv_0_a[14]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_14_.operation_mode="normal";
defparam hilo_37_iv_0_14_.output_mode="comb_only";
defparam hilo_37_iv_0_14_.lut_mask="ba30";
defparam hilo_37_iv_0_14_.synch_mode="off";
defparam hilo_37_iv_0_14_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_14_ (
        .combout(hilo_37_iv_0_a[14]),
        .dataa(hilo_14),
        .datab(add1),
        .datac(un134_hilo_combout[14]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_14_.operation_mode="normal";
defparam hilo_37_iv_0_a_14_.output_mode="comb_only";
defparam hilo_37_iv_0_a_14_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_14_.synch_mode="off";
defparam hilo_37_iv_0_a_14_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_5_ (
        .combout(hilo_37_iv_0[5]),
        .dataa(hilo_6),
        .datab(hilo_37_iv_0_a[5]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_5_.operation_mode="normal";
defparam hilo_37_iv_0_5_.output_mode="comb_only";
defparam hilo_37_iv_0_5_.lut_mask="ba30";
defparam hilo_37_iv_0_5_.synch_mode="off";
defparam hilo_37_iv_0_5_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_5_ (
        .combout(hilo_37_iv_0_a[5]),
        .dataa(hilo_5),
        .datab(add1),
        .datac(un134_hilo_combout[5]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_5_.operation_mode="normal";
defparam hilo_37_iv_0_a_5_.output_mode="comb_only";
defparam hilo_37_iv_0_a_5_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_5_.synch_mode="off";
defparam hilo_37_iv_0_a_5_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_18_ (
        .combout(hilo_37_iv_0[18]),
        .dataa(hilo_19),
        .datab(hilo_37_iv_0_a[18]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_18_.operation_mode="normal";
defparam hilo_37_iv_0_18_.output_mode="comb_only";
defparam hilo_37_iv_0_18_.lut_mask="ba30";
defparam hilo_37_iv_0_18_.synch_mode="off";
defparam hilo_37_iv_0_18_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_18_ (
        .combout(hilo_37_iv_0_a[18]),
        .dataa(hilo_18),
        .datab(add1),
        .datac(un134_hilo_combout[18]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_18_.operation_mode="normal";
defparam hilo_37_iv_0_a_18_.output_mode="comb_only";
defparam hilo_37_iv_0_a_18_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_18_.synch_mode="off";
defparam hilo_37_iv_0_a_18_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_12_ (
        .combout(hilo_37_iv_0[12]),
        .dataa(hilo_13),
        .datab(hilo_37_iv_0_a[12]),
        .datac(hilo_3_sqmuxa),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_12_.operation_mode="normal";
defparam hilo_37_iv_0_12_.output_mode="comb_only";
defparam hilo_37_iv_0_12_.lut_mask="ba30";
defparam hilo_37_iv_0_12_.synch_mode="off";
defparam hilo_37_iv_0_12_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_12_ (
        .combout(hilo_37_iv_0_a[12]),
        .dataa(hilo_12),
        .datab(add1),
        .datac(un134_hilo_combout[12]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_12_.operation_mode="normal";
defparam hilo_37_iv_0_a_12_.output_mode="comb_only";
defparam hilo_37_iv_0_a_12_.lut_mask="1d1d";
defparam hilo_37_iv_0_a_12_.synch_mode="off";
defparam hilo_37_iv_0_a_12_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_31_ (
        .combout(hilo_37_iv_0_0[31]),
        .dataa(hilo_31),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(hilo_37_iv_0_0_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_31_.operation_mode="normal";
defparam hilo_37_iv_0_0_31_.output_mode="comb_only";
defparam hilo_37_iv_0_0_31_.lut_mask="80ff";
defparam hilo_37_iv_0_0_31_.synch_mode="off";
defparam hilo_37_iv_0_0_31_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_a_31_ (
        .combout(hilo_37_iv_0_0_a[31]),
        .dataa(hilo_31),
        .datab(add1),
        .datac(un134_hilo_combout[31]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_a_31_.operation_mode="normal";
defparam hilo_37_iv_0_0_a_31_.output_mode="comb_only";
defparam hilo_37_iv_0_0_a_31_.lut_mask="1dff";
defparam hilo_37_iv_0_0_a_31_.synch_mode="off";
defparam hilo_37_iv_0_0_a_31_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_30_ (
        .combout(hilo_37_iv_0_0[30]),
        .dataa(hilo_30),
        .datab(un134_hilo_combout[30]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_30_.operation_mode="normal";
defparam hilo_37_iv_0_0_30_.output_mode="comb_only";
defparam hilo_37_iv_0_0_30_.lut_mask="eca0";
defparam hilo_37_iv_0_0_30_.synch_mode="off";
defparam hilo_37_iv_0_0_30_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_26_ (
        .combout(hilo_37_iv_0_0[26]),
        .dataa(hilo_26),
        .datab(un134_hilo_combout[26]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_26_.operation_mode="normal";
defparam hilo_37_iv_0_0_26_.output_mode="comb_only";
defparam hilo_37_iv_0_0_26_.lut_mask="eca0";
defparam hilo_37_iv_0_0_26_.synch_mode="off";
defparam hilo_37_iv_0_0_26_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_21_ (
        .combout(hilo_37_iv_0_0[21]),
        .dataa(hilo_21),
        .datab(un134_hilo_combout[21]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_21_.operation_mode="normal";
defparam hilo_37_iv_0_0_21_.output_mode="comb_only";
defparam hilo_37_iv_0_0_21_.lut_mask="eca0";
defparam hilo_37_iv_0_0_21_.synch_mode="off";
defparam hilo_37_iv_0_0_21_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_29_ (
        .combout(hilo_37_iv_0_0[29]),
        .dataa(hilo_29),
        .datab(un134_hilo_combout[29]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_29_.operation_mode="normal";
defparam hilo_37_iv_0_0_29_.output_mode="comb_only";
defparam hilo_37_iv_0_0_29_.lut_mask="eca0";
defparam hilo_37_iv_0_0_29_.synch_mode="off";
defparam hilo_37_iv_0_0_29_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_9_ (
        .combout(hilo_37_iv_0_0[9]),
        .dataa(hilo_9),
        .datab(un134_hilo_combout[9]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_9_.operation_mode="normal";
defparam hilo_37_iv_0_0_9_.output_mode="comb_only";
defparam hilo_37_iv_0_0_9_.lut_mask="eca0";
defparam hilo_37_iv_0_0_9_.synch_mode="off";
defparam hilo_37_iv_0_0_9_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_17_ (
        .combout(hilo_37_iv_0_0[17]),
        .dataa(hilo_17),
        .datab(un134_hilo_combout[17]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_17_.operation_mode="normal";
defparam hilo_37_iv_0_0_17_.output_mode="comb_only";
defparam hilo_37_iv_0_0_17_.lut_mask="eca0";
defparam hilo_37_iv_0_0_17_.synch_mode="off";
defparam hilo_37_iv_0_0_17_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_10_ (
        .combout(hilo_37_iv_0_0[10]),
        .dataa(hilo_10),
        .datab(un134_hilo_combout[10]),
        .datac(hilo_37_iv_0_o2_0[9]),
        .datad(hilo_37_iv_0_a3_3_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_10_.operation_mode="normal";
defparam hilo_37_iv_0_0_10_.output_mode="comb_only";
defparam hilo_37_iv_0_0_10_.lut_mask="eca0";
defparam hilo_37_iv_0_0_10_.synch_mode="off";
defparam hilo_37_iv_0_0_10_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_23_ (
        .combout(hilo_37_iv_0[23]),
        .dataa(rdy),
        .datab(hilo25),
        .datac(a_o_i_7),
        .datad(hilo_37_iv_0_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_23_.operation_mode="normal";
defparam hilo_37_iv_0_23_.output_mode="comb_only";
defparam hilo_37_iv_0_23_.lut_mask="02ff";
defparam hilo_37_iv_0_23_.synch_mode="off";
defparam hilo_37_iv_0_23_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_23_ (
        .combout(hilo_37_iv_0_a[23]),
        .dataa(hilo_23),
        .datab(add1),
        .datac(un134_hilo_combout[23]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_23_.operation_mode="normal";
defparam hilo_37_iv_0_a_23_.output_mode="comb_only";
defparam hilo_37_iv_0_a_23_.lut_mask="1dff";
defparam hilo_37_iv_0_a_23_.synch_mode="off";
defparam hilo_37_iv_0_a_23_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_16_ (
        .combout(hilo_37_iv_0_0[16]),
        .dataa(rdy),
        .datab(hilo25),
        .datac(a_o_i_0),
        .datad(hilo_37_iv_0_0_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_16_.operation_mode="normal";
defparam hilo_37_iv_0_0_16_.output_mode="comb_only";
defparam hilo_37_iv_0_0_16_.lut_mask="02ff";
defparam hilo_37_iv_0_0_16_.synch_mode="off";
defparam hilo_37_iv_0_0_16_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_0_a_16_ (
        .combout(hilo_37_iv_0_0_a[16]),
        .dataa(hilo_16),
        .datab(add1),
        .datac(un134_hilo_combout[16]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_0_a_16_.operation_mode="normal";
defparam hilo_37_iv_0_0_a_16_.output_mode="comb_only";
defparam hilo_37_iv_0_0_a_16_.lut_mask="1dff";
defparam hilo_37_iv_0_0_a_16_.synch_mode="off";
defparam hilo_37_iv_0_0_a_16_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_19_ (
        .combout(hilo_37_iv_0[19]),
        .dataa(rdy),
        .datab(hilo25),
        .datac(a_o_i_3),
        .datad(hilo_37_iv_0_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_19_.operation_mode="normal";
defparam hilo_37_iv_0_19_.output_mode="comb_only";
defparam hilo_37_iv_0_19_.lut_mask="02ff";
defparam hilo_37_iv_0_19_.synch_mode="off";
defparam hilo_37_iv_0_19_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a_19_ (
        .combout(hilo_37_iv_0_a[19]),
        .dataa(hilo_19),
        .datab(add1),
        .datac(un134_hilo_combout[19]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a_19_.operation_mode="normal";
defparam hilo_37_iv_0_a_19_.output_mode="comb_only";
defparam hilo_37_iv_0_a_19_.lut_mask="1dff";
defparam hilo_37_iv_0_a_19_.synch_mode="off";
defparam hilo_37_iv_0_a_19_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo25_cZ (
        .combout(hilo25),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_1),
        .datad(alu_func_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo25_cZ.operation_mode="normal";
defparam hilo25_cZ.output_mode="comb_only";
defparam hilo25_cZ.lut_mask="8000";
defparam hilo25_cZ.synch_mode="off";
defparam hilo25_cZ.sum_lutc_input="datac";
// @5:572
  cyclone_lcell un11_res_cZ (
        .combout(un11_res),
        .dataa(alu_func_o_1),
        .datab(alu_func_o_3),
        .datac(m4_0),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un11_res_cZ.operation_mode="normal";
defparam un11_res_cZ.output_mode="comb_only";
defparam un11_res_cZ.lut_mask="2000";
defparam un11_res_cZ.synch_mode="off";
defparam un11_res_cZ.sum_lutc_input="datac";
// @5:611
  cyclone_lcell rdy_0_sqmuxa_cZ (
        .combout(rdy_0_sqmuxa),
        .dataa(VCC),
        .datab(rdy),
        .datac(un17_mul_0),
        .datad(hilo25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rdy_0_sqmuxa_cZ.operation_mode="normal";
defparam rdy_0_sqmuxa_cZ.output_mode="comb_only";
defparam rdy_0_sqmuxa_cZ.lut_mask="00c0";
defparam rdy_0_sqmuxa_cZ.synch_mode="off";
defparam rdy_0_sqmuxa_cZ.sum_lutc_input="datac";
// @5:620
  cyclone_lcell overflow_2_sqmuxa_cZ (
        .combout(overflow_2_sqmuxa),
        .dataa(VCC),
        .datab(rdy),
        .datac(un17_mul_0),
        .datad(hilo25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam overflow_2_sqmuxa_cZ.operation_mode="normal";
defparam overflow_2_sqmuxa_cZ.output_mode="comb_only";
defparam overflow_2_sqmuxa_cZ.lut_mask="000c";
defparam overflow_2_sqmuxa_cZ.synch_mode="off";
defparam overflow_2_sqmuxa_cZ.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_0_sqmuxa_cZ (
        .combout(hilo_0_sqmuxa),
        .dataa(start),
        .datab(overflow),
        .datac(rdy),
        .datad(hilo25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_0_sqmuxa_cZ.operation_mode="normal";
defparam hilo_0_sqmuxa_cZ.output_mode="comb_only";
defparam hilo_0_sqmuxa_cZ.lut_mask="0008";
defparam hilo_0_sqmuxa_cZ.synch_mode="off";
defparam hilo_0_sqmuxa_cZ.sum_lutc_input="datac";
// @5:581
  cyclone_lcell hilo_3_sqmuxa_cZ (
        .combout(hilo_3_sqmuxa),
        .dataa(start),
        .datab(rdy),
        .datac(addop2_0_sqmuxa),
        .datad(hilo25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_3_sqmuxa_cZ.operation_mode="normal";
defparam hilo_3_sqmuxa_cZ.output_mode="comb_only";
defparam hilo_3_sqmuxa_cZ.lut_mask="0020";
defparam hilo_3_sqmuxa_cZ.synch_mode="off";
defparam hilo_3_sqmuxa_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_32_ (
        .combout(hilo_33_i_m[32]),
        .dataa(hilo_32),
        .datab(hilo_33_i_m_a[32]),
        .datac(hilo_33_1[58]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_32_.operation_mode="normal";
defparam hilo_33_i_m_32_.output_mode="comb_only";
defparam hilo_33_i_m_32_.lut_mask="c500";
defparam hilo_33_i_m_32_.synch_mode="off";
defparam hilo_33_i_m_32_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_32_ (
        .combout(hilo_33_i_m_a[32]),
        .dataa(addnop2),
        .datab(un59_hilo_add0),
        .datac(un50_hilo_add0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_32_.operation_mode="normal";
defparam hilo_33_i_m_a_32_.output_mode="comb_only";
defparam hilo_33_i_m_a_32_.lut_mask="1b1b";
defparam hilo_33_i_m_a_32_.synch_mode="off";
defparam hilo_33_i_m_a_32_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_39_ (
        .combout(hilo_33_i_m[39]),
        .dataa(hilo_39),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[39]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_39_.operation_mode="normal";
defparam hilo_33_i_m_39_.output_mode="comb_only";
defparam hilo_33_i_m_39_.lut_mask="d100";
defparam hilo_33_i_m_39_.synch_mode="off";
defparam hilo_33_i_m_39_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_39_ (
        .combout(hilo_33_i_m_a[39]),
        .dataa(addnop2),
        .datab(un59_hilo_add7),
        .datac(un50_hilo_add7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_39_.operation_mode="normal";
defparam hilo_33_i_m_a_39_.output_mode="comb_only";
defparam hilo_33_i_m_a_39_.lut_mask="1b1b";
defparam hilo_33_i_m_a_39_.synch_mode="off";
defparam hilo_33_i_m_a_39_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_40_ (
        .combout(hilo_33_i_m[40]),
        .dataa(hilo_40),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[40]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_40_.operation_mode="normal";
defparam hilo_33_i_m_40_.output_mode="comb_only";
defparam hilo_33_i_m_40_.lut_mask="d100";
defparam hilo_33_i_m_40_.synch_mode="off";
defparam hilo_33_i_m_40_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_40_ (
        .combout(hilo_33_i_m_a[40]),
        .dataa(addnop2),
        .datab(un59_hilo_add8),
        .datac(un50_hilo_add8),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_40_.operation_mode="normal";
defparam hilo_33_i_m_a_40_.output_mode="comb_only";
defparam hilo_33_i_m_a_40_.lut_mask="1b1b";
defparam hilo_33_i_m_a_40_.synch_mode="off";
defparam hilo_33_i_m_a_40_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_41_ (
        .combout(hilo_33_i_m[41]),
        .dataa(hilo_41),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[41]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_41_.operation_mode="normal";
defparam hilo_33_i_m_41_.output_mode="comb_only";
defparam hilo_33_i_m_41_.lut_mask="d100";
defparam hilo_33_i_m_41_.synch_mode="off";
defparam hilo_33_i_m_41_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_41_ (
        .combout(hilo_33_i_m_a[41]),
        .dataa(addnop2),
        .datab(un59_hilo_add9),
        .datac(un50_hilo_add9),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_41_.operation_mode="normal";
defparam hilo_33_i_m_a_41_.output_mode="comb_only";
defparam hilo_33_i_m_a_41_.lut_mask="1b1b";
defparam hilo_33_i_m_a_41_.synch_mode="off";
defparam hilo_33_i_m_a_41_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_42_ (
        .combout(hilo_33_i_m[42]),
        .dataa(hilo_42),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[42]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_42_.operation_mode="normal";
defparam hilo_33_i_m_42_.output_mode="comb_only";
defparam hilo_33_i_m_42_.lut_mask="d100";
defparam hilo_33_i_m_42_.synch_mode="off";
defparam hilo_33_i_m_42_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_42_ (
        .combout(hilo_33_i_m_a[42]),
        .dataa(addnop2),
        .datab(un59_hilo_add10),
        .datac(un50_hilo_add10),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_42_.operation_mode="normal";
defparam hilo_33_i_m_a_42_.output_mode="comb_only";
defparam hilo_33_i_m_a_42_.lut_mask="1b1b";
defparam hilo_33_i_m_a_42_.synch_mode="off";
defparam hilo_33_i_m_a_42_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_43_ (
        .combout(hilo_33_i_m[43]),
        .dataa(hilo_43),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[43]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_43_.operation_mode="normal";
defparam hilo_33_i_m_43_.output_mode="comb_only";
defparam hilo_33_i_m_43_.lut_mask="d100";
defparam hilo_33_i_m_43_.synch_mode="off";
defparam hilo_33_i_m_43_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_43_ (
        .combout(hilo_33_i_m_a[43]),
        .dataa(addnop2),
        .datab(un59_hilo_add11),
        .datac(un50_hilo_add11),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_43_.operation_mode="normal";
defparam hilo_33_i_m_a_43_.output_mode="comb_only";
defparam hilo_33_i_m_a_43_.lut_mask="1b1b";
defparam hilo_33_i_m_a_43_.synch_mode="off";
defparam hilo_33_i_m_a_43_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_44_ (
        .combout(hilo_33_i_m[44]),
        .dataa(hilo_44),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[44]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_44_.operation_mode="normal";
defparam hilo_33_i_m_44_.output_mode="comb_only";
defparam hilo_33_i_m_44_.lut_mask="d100";
defparam hilo_33_i_m_44_.synch_mode="off";
defparam hilo_33_i_m_44_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_44_ (
        .combout(hilo_33_i_m_a[44]),
        .dataa(addnop2),
        .datab(un59_hilo_add12),
        .datac(un50_hilo_add12),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_44_.operation_mode="normal";
defparam hilo_33_i_m_a_44_.output_mode="comb_only";
defparam hilo_33_i_m_a_44_.lut_mask="1b1b";
defparam hilo_33_i_m_a_44_.synch_mode="off";
defparam hilo_33_i_m_a_44_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_45_ (
        .combout(hilo_33_i_m[45]),
        .dataa(hilo_45),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[45]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_45_.operation_mode="normal";
defparam hilo_33_i_m_45_.output_mode="comb_only";
defparam hilo_33_i_m_45_.lut_mask="d100";
defparam hilo_33_i_m_45_.synch_mode="off";
defparam hilo_33_i_m_45_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_45_ (
        .combout(hilo_33_i_m_a[45]),
        .dataa(addnop2),
        .datab(un59_hilo_add13),
        .datac(un50_hilo_add13),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_45_.operation_mode="normal";
defparam hilo_33_i_m_a_45_.output_mode="comb_only";
defparam hilo_33_i_m_a_45_.lut_mask="1b1b";
defparam hilo_33_i_m_a_45_.synch_mode="off";
defparam hilo_33_i_m_a_45_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_46_ (
        .combout(hilo_33_i_m[46]),
        .dataa(hilo_46),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[46]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_46_.operation_mode="normal";
defparam hilo_33_i_m_46_.output_mode="comb_only";
defparam hilo_33_i_m_46_.lut_mask="d100";
defparam hilo_33_i_m_46_.synch_mode="off";
defparam hilo_33_i_m_46_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_46_ (
        .combout(hilo_33_i_m_a[46]),
        .dataa(addnop2),
        .datab(un59_hilo_add14),
        .datac(un50_hilo_add14),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_46_.operation_mode="normal";
defparam hilo_33_i_m_a_46_.output_mode="comb_only";
defparam hilo_33_i_m_a_46_.lut_mask="1b1b";
defparam hilo_33_i_m_a_46_.synch_mode="off";
defparam hilo_33_i_m_a_46_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_47_ (
        .combout(hilo_33_i_m[47]),
        .dataa(hilo_47),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[47]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_47_.operation_mode="normal";
defparam hilo_33_i_m_47_.output_mode="comb_only";
defparam hilo_33_i_m_47_.lut_mask="d100";
defparam hilo_33_i_m_47_.synch_mode="off";
defparam hilo_33_i_m_47_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_47_ (
        .combout(hilo_33_i_m_a[47]),
        .dataa(addnop2),
        .datab(un59_hilo_add15),
        .datac(un50_hilo_add15),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_47_.operation_mode="normal";
defparam hilo_33_i_m_a_47_.output_mode="comb_only";
defparam hilo_33_i_m_a_47_.lut_mask="1b1b";
defparam hilo_33_i_m_a_47_.synch_mode="off";
defparam hilo_33_i_m_a_47_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_49_ (
        .combout(hilo_33_i_m[49]),
        .dataa(hilo_49),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[49]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_49_.operation_mode="normal";
defparam hilo_33_i_m_49_.output_mode="comb_only";
defparam hilo_33_i_m_49_.lut_mask="d100";
defparam hilo_33_i_m_49_.synch_mode="off";
defparam hilo_33_i_m_49_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_49_ (
        .combout(hilo_33_i_m_a[49]),
        .dataa(addnop2),
        .datab(un59_hilo_add17),
        .datac(un50_hilo_add17),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_49_.operation_mode="normal";
defparam hilo_33_i_m_a_49_.output_mode="comb_only";
defparam hilo_33_i_m_a_49_.lut_mask="1b1b";
defparam hilo_33_i_m_a_49_.synch_mode="off";
defparam hilo_33_i_m_a_49_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_52_ (
        .combout(hilo_33_i_m[52]),
        .dataa(hilo_52),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[52]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_52_.operation_mode="normal";
defparam hilo_33_i_m_52_.output_mode="comb_only";
defparam hilo_33_i_m_52_.lut_mask="d100";
defparam hilo_33_i_m_52_.synch_mode="off";
defparam hilo_33_i_m_52_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_52_ (
        .combout(hilo_33_i_m_a[52]),
        .dataa(addnop2),
        .datab(un59_hilo_add20),
        .datac(un50_hilo_add20),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_52_.operation_mode="normal";
defparam hilo_33_i_m_a_52_.output_mode="comb_only";
defparam hilo_33_i_m_a_52_.lut_mask="1b1b";
defparam hilo_33_i_m_a_52_.synch_mode="off";
defparam hilo_33_i_m_a_52_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_53_ (
        .combout(hilo_33_i_m[53]),
        .dataa(hilo_53),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[53]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_53_.operation_mode="normal";
defparam hilo_33_i_m_53_.output_mode="comb_only";
defparam hilo_33_i_m_53_.lut_mask="d100";
defparam hilo_33_i_m_53_.synch_mode="off";
defparam hilo_33_i_m_53_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_53_ (
        .combout(hilo_33_i_m_a[53]),
        .dataa(addnop2),
        .datab(un59_hilo_add21),
        .datac(un50_hilo_add21),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_53_.operation_mode="normal";
defparam hilo_33_i_m_a_53_.output_mode="comb_only";
defparam hilo_33_i_m_a_53_.lut_mask="1b1b";
defparam hilo_33_i_m_a_53_.synch_mode="off";
defparam hilo_33_i_m_a_53_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_54_ (
        .combout(hilo_33_i_m[54]),
        .dataa(hilo_54),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[54]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_54_.operation_mode="normal";
defparam hilo_33_i_m_54_.output_mode="comb_only";
defparam hilo_33_i_m_54_.lut_mask="d100";
defparam hilo_33_i_m_54_.synch_mode="off";
defparam hilo_33_i_m_54_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_54_ (
        .combout(hilo_33_i_m_a[54]),
        .dataa(addnop2),
        .datab(un59_hilo_add22),
        .datac(un50_hilo_add22),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_54_.operation_mode="normal";
defparam hilo_33_i_m_a_54_.output_mode="comb_only";
defparam hilo_33_i_m_a_54_.lut_mask="1b1b";
defparam hilo_33_i_m_a_54_.synch_mode="off";
defparam hilo_33_i_m_a_54_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_56_ (
        .combout(hilo_33_i_m[56]),
        .dataa(hilo_56),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[56]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_56_.operation_mode="normal";
defparam hilo_33_i_m_56_.output_mode="comb_only";
defparam hilo_33_i_m_56_.lut_mask="d100";
defparam hilo_33_i_m_56_.synch_mode="off";
defparam hilo_33_i_m_56_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_56_ (
        .combout(hilo_33_i_m_a[56]),
        .dataa(addnop2),
        .datab(un59_hilo_add24),
        .datac(un50_hilo_add24),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_56_.operation_mode="normal";
defparam hilo_33_i_m_a_56_.output_mode="comb_only";
defparam hilo_33_i_m_a_56_.lut_mask="1b1b";
defparam hilo_33_i_m_a_56_.synch_mode="off";
defparam hilo_33_i_m_a_56_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_57_ (
        .combout(hilo_33_i_m[57]),
        .dataa(hilo_57),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[57]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_57_.operation_mode="normal";
defparam hilo_33_i_m_57_.output_mode="comb_only";
defparam hilo_33_i_m_57_.lut_mask="d100";
defparam hilo_33_i_m_57_.synch_mode="off";
defparam hilo_33_i_m_57_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_57_ (
        .combout(hilo_33_i_m_a[57]),
        .dataa(addnop2),
        .datab(un59_hilo_add25),
        .datac(un50_hilo_add25),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_57_.operation_mode="normal";
defparam hilo_33_i_m_a_57_.output_mode="comb_only";
defparam hilo_33_i_m_a_57_.lut_mask="1b1b";
defparam hilo_33_i_m_a_57_.synch_mode="off";
defparam hilo_33_i_m_a_57_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_58_ (
        .combout(hilo_33_i_m[58]),
        .dataa(hilo_58),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[58]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_58_.operation_mode="normal";
defparam hilo_33_i_m_58_.output_mode="comb_only";
defparam hilo_33_i_m_58_.lut_mask="d100";
defparam hilo_33_i_m_58_.synch_mode="off";
defparam hilo_33_i_m_58_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_58_ (
        .combout(hilo_33_i_m_a[58]),
        .dataa(addnop2),
        .datab(un59_hilo_add26),
        .datac(un50_hilo_add26),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_58_.operation_mode="normal";
defparam hilo_33_i_m_a_58_.output_mode="comb_only";
defparam hilo_33_i_m_a_58_.lut_mask="1b1b";
defparam hilo_33_i_m_a_58_.synch_mode="off";
defparam hilo_33_i_m_a_58_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_32_ (
        .combout(hilo_22_Z[32]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[32]),
        .datad(hilo_15_2_i_m2[32]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_32_.operation_mode="normal";
defparam hilo_22_32_.output_mode="comb_only";
defparam hilo_22_32_.lut_mask="8f07";
defparam hilo_22_32_.synch_mode="off";
defparam hilo_22_32_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_32_ (
        .combout(hilo_22_a[32]),
        .dataa(hilo_33),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_32_.operation_mode="normal";
defparam hilo_22_a_32_.output_mode="comb_only";
defparam hilo_22_a_32_.lut_mask="4575";
defparam hilo_22_a_32_.synch_mode="off";
defparam hilo_22_a_32_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_39_ (
        .combout(hilo_22_Z[39]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[39]),
        .datad(hilo_15_2[39]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_39_.operation_mode="normal";
defparam hilo_22_39_.output_mode="comb_only";
defparam hilo_22_39_.lut_mask="8f07";
defparam hilo_22_39_.synch_mode="off";
defparam hilo_22_39_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_39_ (
        .combout(hilo_22_a[39]),
        .dataa(hilo_40),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_39_.operation_mode="normal";
defparam hilo_22_a_39_.output_mode="comb_only";
defparam hilo_22_a_39_.lut_mask="4575";
defparam hilo_22_a_39_.synch_mode="off";
defparam hilo_22_a_39_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_40_ (
        .combout(hilo_22_Z[40]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[40]),
        .datad(hilo_15_2_i_m2[40]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_40_.operation_mode="normal";
defparam hilo_22_40_.output_mode="comb_only";
defparam hilo_22_40_.lut_mask="8f07";
defparam hilo_22_40_.synch_mode="off";
defparam hilo_22_40_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_40_ (
        .combout(hilo_22_a[40]),
        .dataa(hilo_41),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_40_.operation_mode="normal";
defparam hilo_22_a_40_.output_mode="comb_only";
defparam hilo_22_a_40_.lut_mask="4575";
defparam hilo_22_a_40_.synch_mode="off";
defparam hilo_22_a_40_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_41_ (
        .combout(hilo_22_Z[41]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[41]),
        .datad(hilo_15_2[41]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_41_.operation_mode="normal";
defparam hilo_22_41_.output_mode="comb_only";
defparam hilo_22_41_.lut_mask="8f07";
defparam hilo_22_41_.synch_mode="off";
defparam hilo_22_41_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_41_ (
        .combout(hilo_22_a[41]),
        .dataa(hilo_42),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_41_.operation_mode="normal";
defparam hilo_22_a_41_.output_mode="comb_only";
defparam hilo_22_a_41_.lut_mask="4575";
defparam hilo_22_a_41_.synch_mode="off";
defparam hilo_22_a_41_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_42_ (
        .combout(hilo_22_Z[42]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[42]),
        .datad(hilo_15_2[42]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_42_.operation_mode="normal";
defparam hilo_22_42_.output_mode="comb_only";
defparam hilo_22_42_.lut_mask="8f07";
defparam hilo_22_42_.synch_mode="off";
defparam hilo_22_42_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_42_ (
        .combout(hilo_22_a[42]),
        .dataa(hilo_43),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_42_.operation_mode="normal";
defparam hilo_22_a_42_.output_mode="comb_only";
defparam hilo_22_a_42_.lut_mask="4575";
defparam hilo_22_a_42_.synch_mode="off";
defparam hilo_22_a_42_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_43_ (
        .combout(hilo_22_Z[43]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[43]),
        .datad(hilo_15_2_i_m2[43]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_43_.operation_mode="normal";
defparam hilo_22_43_.output_mode="comb_only";
defparam hilo_22_43_.lut_mask="8f07";
defparam hilo_22_43_.synch_mode="off";
defparam hilo_22_43_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_43_ (
        .combout(hilo_22_a[43]),
        .dataa(hilo_44),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_43_.operation_mode="normal";
defparam hilo_22_a_43_.output_mode="comb_only";
defparam hilo_22_a_43_.lut_mask="4575";
defparam hilo_22_a_43_.synch_mode="off";
defparam hilo_22_a_43_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_44_ (
        .combout(hilo_22_Z[44]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[44]),
        .datad(hilo_15_2[44]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_44_.operation_mode="normal";
defparam hilo_22_44_.output_mode="comb_only";
defparam hilo_22_44_.lut_mask="8f07";
defparam hilo_22_44_.synch_mode="off";
defparam hilo_22_44_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_44_ (
        .combout(hilo_22_a[44]),
        .dataa(hilo_45),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_44_.operation_mode="normal";
defparam hilo_22_a_44_.output_mode="comb_only";
defparam hilo_22_a_44_.lut_mask="4575";
defparam hilo_22_a_44_.synch_mode="off";
defparam hilo_22_a_44_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_45_ (
        .combout(hilo_22_Z[45]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[45]),
        .datad(hilo_15_2[45]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_45_.operation_mode="normal";
defparam hilo_22_45_.output_mode="comb_only";
defparam hilo_22_45_.lut_mask="8f07";
defparam hilo_22_45_.synch_mode="off";
defparam hilo_22_45_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_45_ (
        .combout(hilo_22_a[45]),
        .dataa(hilo_46),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_45_.operation_mode="normal";
defparam hilo_22_a_45_.output_mode="comb_only";
defparam hilo_22_a_45_.lut_mask="4575";
defparam hilo_22_a_45_.synch_mode="off";
defparam hilo_22_a_45_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_46_ (
        .combout(hilo_22_Z[46]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[46]),
        .datad(hilo_15_2[46]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_46_.operation_mode="normal";
defparam hilo_22_46_.output_mode="comb_only";
defparam hilo_22_46_.lut_mask="8f07";
defparam hilo_22_46_.synch_mode="off";
defparam hilo_22_46_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_46_ (
        .combout(hilo_22_a[46]),
        .dataa(hilo_47),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_46_.operation_mode="normal";
defparam hilo_22_a_46_.output_mode="comb_only";
defparam hilo_22_a_46_.lut_mask="4575";
defparam hilo_22_a_46_.synch_mode="off";
defparam hilo_22_a_46_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_47_ (
        .combout(hilo_22_Z[47]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[47]),
        .datad(hilo_15_2[47]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_47_.operation_mode="normal";
defparam hilo_22_47_.output_mode="comb_only";
defparam hilo_22_47_.lut_mask="8f07";
defparam hilo_22_47_.synch_mode="off";
defparam hilo_22_47_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_47_ (
        .combout(hilo_22_a[47]),
        .dataa(hilo_48),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_47_.operation_mode="normal";
defparam hilo_22_a_47_.output_mode="comb_only";
defparam hilo_22_a_47_.lut_mask="4575";
defparam hilo_22_a_47_.synch_mode="off";
defparam hilo_22_a_47_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_51_ (
        .combout(hilo_22_Z[51]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[51]),
        .datad(hilo_15_2[51]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_51_.operation_mode="normal";
defparam hilo_22_51_.output_mode="comb_only";
defparam hilo_22_51_.lut_mask="8f07";
defparam hilo_22_51_.synch_mode="off";
defparam hilo_22_51_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_51_ (
        .combout(hilo_22_a[51]),
        .dataa(hilo_52),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_51_.operation_mode="normal";
defparam hilo_22_a_51_.output_mode="comb_only";
defparam hilo_22_a_51_.lut_mask="4575";
defparam hilo_22_a_51_.synch_mode="off";
defparam hilo_22_a_51_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_53_ (
        .combout(hilo_22_Z[53]),
        .dataa(sign),
        .datab(hilo_15_1[63]),
        .datac(hilo_22_a[53]),
        .datad(hilo_15_2[53]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_53_.operation_mode="normal";
defparam hilo_22_53_.output_mode="comb_only";
defparam hilo_22_53_.lut_mask="8f07";
defparam hilo_22_53_.synch_mode="off";
defparam hilo_22_53_.sum_lutc_input="datac";
// @5:644
  cyclone_lcell hilo_22_a_53_ (
        .combout(hilo_22_a[53]),
        .dataa(hilo_54),
        .datab(sign),
        .datac(hilo_0),
        .datad(un59_hilo_add22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_22_a_53_.operation_mode="normal";
defparam hilo_22_a_53_.output_mode="comb_only";
defparam hilo_22_a_53_.lut_mask="4575";
defparam hilo_22_a_53_.synch_mode="off";
defparam hilo_22_a_53_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a3_3_36_ (
        .combout(hilo_37_iv_0_a3_3[36]),
        .dataa(addop2),
        .datab(addnop2),
        .datac(un59_hilo_add4),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a3_3_36_.operation_mode="normal";
defparam hilo_37_iv_0_a3_3_36_.output_mode="comb_only";
defparam hilo_37_iv_0_a3_3_36_.lut_mask="0200";
defparam hilo_37_iv_0_a3_3_36_.synch_mode="off";
defparam hilo_37_iv_0_a3_3_36_.sum_lutc_input="datac";
// @5:611
  cyclone_lcell un29_sign_0_o3_i_o2_cZ (
        .combout(un29_sign_0_o3_i_o2),
        .dataa(alu_func_o_1),
        .datab(alu_func_o_0),
        .datac(un17_mul_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un29_sign_0_o3_i_o2_cZ.operation_mode="normal";
defparam un29_sign_0_o3_i_o2_cZ.output_mode="comb_only";
defparam un29_sign_0_o3_i_o2_cZ.lut_mask="7f7f";
defparam un29_sign_0_o3_i_o2_cZ.synch_mode="off";
defparam un29_sign_0_o3_i_o2_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_o3_0_o2_34_ (
        .combout(hilo_37_iv_0_o3_0_o2[34]),
        .dataa(addop2),
        .datab(addnop2),
        .datac(hilo_37_iv_0_a2_0_a2[1]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_o3_0_o2_34_.operation_mode="normal";
defparam hilo_37_iv_0_o3_0_o2_34_.output_mode="comb_only";
defparam hilo_37_iv_0_o3_0_o2_34_.lut_mask="f9f0";
defparam hilo_37_iv_0_o3_0_o2_34_.synch_mode="off";
defparam hilo_37_iv_0_o3_0_o2_34_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a2_1_a2_35_ (
        .combout(hilo_37_iv_0_a2_1_a2[35]),
        .dataa(sub_or_yn),
        .datab(sign),
        .datac(hilo_0),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a2_1_a2_35_.operation_mode="normal";
defparam hilo_37_iv_0_a2_1_a2_35_.output_mode="comb_only";
defparam hilo_37_iv_0_a2_1_a2_35_.lut_mask="4000";
defparam hilo_37_iv_0_a2_1_a2_35_.synch_mode="off";
defparam hilo_37_iv_0_a2_1_a2_35_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a3_0_1_59_ (
        .combout(hilo_37_iv_0_a3_0_1[59]),
        .dataa(sub_or_yn),
        .datab(sign),
        .datac(hilo_0),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a3_0_1_59_.operation_mode="normal";
defparam hilo_37_iv_0_a3_0_1_59_.output_mode="comb_only";
defparam hilo_37_iv_0_a3_0_1_59_.lut_mask="8700";
defparam hilo_37_iv_0_a3_0_1_59_.synch_mode="off";
defparam hilo_37_iv_0_a3_0_1_59_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a3_1_0_59_ (
        .combout(hilo_37_iv_0_a3_1_0[59]),
        .dataa(sub_or_yn),
        .datab(sign),
        .datac(hilo_0),
        .datad(hilo_1_sqmuxa_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a3_1_0_59_.operation_mode="normal";
defparam hilo_37_iv_0_a3_1_0_59_.output_mode="comb_only";
defparam hilo_37_iv_0_a3_1_0_59_.lut_mask="3800";
defparam hilo_37_iv_0_a3_1_0_59_.synch_mode="off";
defparam hilo_37_iv_0_a3_1_0_59_.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_4_cZ (
        .combout(eqnop2_2_NE_4),
        .dataa(hilo_56),
        .datab(nop2_reged[24]),
        .datac(eqnop2_2_7),
        .datad(eqnop2_2_NE_4_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_4_cZ.operation_mode="normal";
defparam eqnop2_2_NE_4_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_4_cZ.lut_mask="fff6";
defparam eqnop2_2_NE_4_cZ.synch_mode="off";
defparam eqnop2_2_NE_4_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_4_a_cZ (
        .combout(eqnop2_2_NE_4_a),
        .dataa(hilo_55),
        .datab(hilo_40),
        .datac(nop2_reged[8]),
        .datad(nop2_reged[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_4_a_cZ.operation_mode="normal";
defparam eqnop2_2_NE_4_a_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_4_a_cZ.lut_mask="7dbe";
defparam eqnop2_2_NE_4_a_cZ.synch_mode="off";
defparam eqnop2_2_NE_4_a_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_7_cZ (
        .combout(eqnop2_2_NE_7),
        .dataa(hilo_62),
        .datab(nop2_reged[30]),
        .datac(eqnop2_2_NE_7_a),
        .datad(eqnop2_2_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_7_cZ.operation_mode="normal";
defparam eqnop2_2_NE_7_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_7_cZ.lut_mask="fff6";
defparam eqnop2_2_NE_7_cZ.synch_mode="off";
defparam eqnop2_2_NE_7_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_NE_7_a_cZ (
        .combout(eqnop2_2_NE_7_a),
        .dataa(hilo_46),
        .datab(hilo_45),
        .datac(nop2_reged[13]),
        .datad(nop2_reged[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_7_a_cZ.operation_mode="normal";
defparam eqnop2_2_NE_7_a_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_7_a_cZ.lut_mask="7dbe";
defparam eqnop2_2_NE_7_a_cZ.synch_mode="off";
defparam eqnop2_2_NE_7_a_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_50_ (
        .combout(hilo_33_i_m[50]),
        .dataa(hilo_50),
        .datab(hilo_33_1[58]),
        .datac(hilo_33_i_m_a[50]),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_50_.operation_mode="normal";
defparam hilo_33_i_m_50_.output_mode="comb_only";
defparam hilo_33_i_m_50_.lut_mask="d100";
defparam hilo_33_i_m_50_.synch_mode="off";
defparam hilo_33_i_m_50_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_33_i_m_a_50_ (
        .combout(hilo_33_i_m_a[50]),
        .dataa(addnop2),
        .datab(un59_hilo_add18),
        .datac(un50_hilo_add18),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_i_m_a_50_.operation_mode="normal";
defparam hilo_33_i_m_a_50_.output_mode="comb_only";
defparam hilo_33_i_m_a_50_.lut_mask="1b1b";
defparam hilo_33_i_m_a_50_.synch_mode="off";
defparam hilo_33_i_m_a_50_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_4_61_ (
        .combout(hilo_37_iv_0_4[61]),
        .dataa(hilo_62),
        .datab(un59_hilo_add30),
        .datac(hilo_37_iv_0_a3_0_1[59]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_4_61_.operation_mode="normal";
defparam hilo_37_iv_0_4_61_.output_mode="comb_only";
defparam hilo_37_iv_0_4_61_.lut_mask="7350";
defparam hilo_37_iv_0_4_61_.synch_mode="off";
defparam hilo_37_iv_0_4_61_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_4_59_ (
        .combout(hilo_37_iv_0_4[59]),
        .dataa(hilo_60),
        .datab(un59_hilo_add28),
        .datac(hilo_37_iv_0_a3_0_1[59]),
        .datad(hilo_37_iv_0_a3_1_0[59]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_4_59_.operation_mode="normal";
defparam hilo_37_iv_0_4_59_.output_mode="comb_only";
defparam hilo_37_iv_0_4_59_.lut_mask="7350";
defparam hilo_37_iv_0_4_59_.synch_mode="off";
defparam hilo_37_iv_0_4_59_.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_23_cZ (
        .combout(eqz_2_23),
        .dataa(hilo_47),
        .datab(hilo_48),
        .datac(hilo_45),
        .datad(hilo_46),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_23_cZ.operation_mode="normal";
defparam eqz_2_23_cZ.output_mode="comb_only";
defparam eqz_2_23_cZ.lut_mask="0001";
defparam eqz_2_23_cZ.synch_mode="off";
defparam eqz_2_23_cZ.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_22_cZ (
        .combout(eqz_2_22),
        .dataa(hilo_41),
        .datab(hilo_44),
        .datac(hilo_42),
        .datad(hilo_54),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_22_cZ.operation_mode="normal";
defparam eqz_2_22_cZ.output_mode="comb_only";
defparam eqz_2_22_cZ.lut_mask="0001";
defparam eqz_2_22_cZ.synch_mode="off";
defparam eqz_2_22_cZ.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_21_cZ (
        .combout(eqz_2_21),
        .dataa(hilo_50),
        .datab(hilo_51),
        .datac(hilo_43),
        .datad(hilo_39),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_21_cZ.operation_mode="normal";
defparam eqz_2_21_cZ.output_mode="comb_only";
defparam eqz_2_21_cZ.lut_mask="0001";
defparam eqz_2_21_cZ.synch_mode="off";
defparam eqz_2_21_cZ.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_20_cZ (
        .combout(eqz_2_20),
        .dataa(hilo_53),
        .datab(hilo_57),
        .datac(hilo_58),
        .datad(hilo_64),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_20_cZ.operation_mode="normal";
defparam eqz_2_20_cZ.output_mode="comb_only";
defparam eqz_2_20_cZ.lut_mask="0001";
defparam eqz_2_20_cZ.synch_mode="off";
defparam eqz_2_20_cZ.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_18_cZ (
        .combout(eqz_2_18),
        .dataa(hilo_60),
        .datab(hilo_61),
        .datac(hilo_34),
        .datad(hilo_32),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_18_cZ.operation_mode="normal";
defparam eqz_2_18_cZ.output_mode="comb_only";
defparam eqz_2_18_cZ.lut_mask="0001";
defparam eqz_2_18_cZ.synch_mode="off";
defparam eqz_2_18_cZ.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_17_cZ (
        .combout(eqz_2_17),
        .dataa(hilo_35),
        .datab(hilo_36),
        .datac(hilo_62),
        .datad(hilo_63),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_17_cZ.operation_mode="normal";
defparam eqz_2_17_cZ.output_mode="comb_only";
defparam eqz_2_17_cZ.lut_mask="0001";
defparam eqz_2_17_cZ.synch_mode="off";
defparam eqz_2_17_cZ.sum_lutc_input="datac";
// @5:687
  cyclone_lcell eqz_2_16_cZ (
        .combout(eqz_2_16),
        .dataa(hilo_37),
        .datab(hilo_59),
        .datac(hilo_40),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqz_2_16_cZ.operation_mode="normal";
defparam eqz_2_16_cZ.output_mode="comb_only";
defparam eqz_2_16_cZ.lut_mask="0101";
defparam eqz_2_16_cZ.synch_mode="off";
defparam eqz_2_16_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_i_0_a3_1_0_a2_64_ (
        .combout(hilo_37_iv_i_0_a3_1_0_a2[64]),
        .dataa(VCC),
        .datab(addop2),
        .datac(addnop2),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_i_0_a3_1_0_a2_64_.operation_mode="normal";
defparam hilo_37_iv_i_0_a3_1_0_a2_64_.output_mode="comb_only";
defparam hilo_37_iv_i_0_a3_1_0_a2_64_.lut_mask="0c00";
defparam hilo_37_iv_i_0_a3_1_0_a2_64_.synch_mode="off";
defparam hilo_37_iv_i_0_a3_1_0_a2_64_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_i_0_a3_2_0_a2_64_ (
        .combout(hilo_37_iv_i_0_a3_2_0_a2[64]),
        .dataa(VCC),
        .datab(addop2),
        .datac(addnop2),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_i_0_a3_2_0_a2_64_.operation_mode="normal";
defparam hilo_37_iv_i_0_a3_2_0_a2_64_.output_mode="comb_only";
defparam hilo_37_iv_i_0_a3_2_0_a2_64_.lut_mask="3000";
defparam hilo_37_iv_i_0_a3_2_0_a2_64_.synch_mode="off";
defparam hilo_37_iv_i_0_a3_2_0_a2_64_.sum_lutc_input="datac";
// @5:635
  cyclone_lcell un1_addnop2104_1_cZ (
        .combout(un1_addnop2104_1),
        .dataa(overflow),
        .datab(mul),
        .datac(count_5),
        .datad(sign),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_addnop2104_1_cZ.operation_mode="normal";
defparam un1_addnop2104_1_cZ.output_mode="comb_only";
defparam un1_addnop2104_1_cZ.lut_mask="fafe";
defparam un1_addnop2104_1_cZ.synch_mode="off";
defparam un1_addnop2104_1_cZ.sum_lutc_input="datac";
// @5:554
  cyclone_lcell addnop2110_cZ (
        .combout(addnop2110),
        .dataa(VCC),
        .datab(start),
        .datac(rdy),
        .datad(hilo25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam addnop2110_cZ.operation_mode="normal";
defparam addnop2110_cZ.output_mode="comb_only";
defparam addnop2110_cZ.lut_mask="000c";
defparam addnop2110_cZ.synch_mode="off";
defparam addnop2110_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_5_i_m_51_ (
        .combout(hilo_5_i_m[51]),
        .dataa(hilo_51),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(a_o_i_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_5_i_m_51_.operation_mode="normal";
defparam hilo_5_i_m_51_.output_mode="comb_only";
defparam hilo_5_i_m_51_.lut_mask="d010";
defparam hilo_5_i_m_51_.synch_mode="off";
defparam hilo_5_i_m_51_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_3_38_ (
        .combout(hilo_15_3[38]),
        .dataa(hilo_39),
        .datab(sub_or_yn),
        .datac(hilo_0),
        .datad(hilo_15_3_a[38]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_3_38_.operation_mode="normal";
defparam hilo_15_3_38_.output_mode="comb_only";
defparam hilo_15_3_38_.lut_mask="82be";
defparam hilo_15_3_38_.synch_mode="off";
defparam hilo_15_3_38_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_3_a_38_ (
        .combout(hilo_15_3_a[38]),
        .dataa(hilo_0),
        .datab(un50_hilo_add7),
        .datac(un59_hilo_add7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_3_a_38_.operation_mode="normal";
defparam hilo_15_3_a_38_.output_mode="comb_only";
defparam hilo_15_3_a_38_.lut_mask="2727";
defparam hilo_15_3_a_38_.synch_mode="off";
defparam hilo_15_3_a_38_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_3_63_ (
        .combout(hilo_15_3[63]),
        .dataa(sub_or_yn),
        .datab(hilo_0),
        .datac(hilo_64),
        .datad(hilo_15_3_a[63]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_3_63_.operation_mode="normal";
defparam hilo_15_3_63_.output_mode="comb_only";
defparam hilo_15_3_63_.lut_mask="90f6";
defparam hilo_15_3_63_.synch_mode="off";
defparam hilo_15_3_63_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_3_a_63_ (
        .combout(hilo_15_3_a[63]),
        .dataa(hilo_0),
        .datab(un50_hilo_add32),
        .datac(un59_hilo_add32),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_3_a_63_.operation_mode="normal";
defparam hilo_15_3_a_63_.output_mode="comb_only";
defparam hilo_15_3_a_63_.lut_mask="2727";
defparam hilo_15_3_a_63_.synch_mode="off";
defparam hilo_15_3_a_63_.sum_lutc_input="datac";
// @5:673
  cyclone_lcell hilo_33_3_38_ (
        .combout(hilo_33_3[38]),
        .dataa(hilo_38),
        .datab(addop2),
        .datac(addnop2),
        .datad(hilo_33_3_a[38]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_3_38_.operation_mode="normal";
defparam hilo_33_3_38_.output_mode="comb_only";
defparam hilo_33_3_38_.lut_mask="82be";
defparam hilo_33_3_38_.synch_mode="off";
defparam hilo_33_3_38_.sum_lutc_input="datac";
// @5:673
  cyclone_lcell hilo_33_3_a_38_ (
        .combout(hilo_33_3_a[38]),
        .dataa(addop2),
        .datab(un59_hilo_add6),
        .datac(un50_hilo_add6),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_3_a_38_.operation_mode="normal";
defparam hilo_33_3_a_38_.output_mode="comb_only";
defparam hilo_33_3_a_38_.lut_mask="2727";
defparam hilo_33_3_a_38_.synch_mode="off";
defparam hilo_33_3_a_38_.sum_lutc_input="datac";
// @5:673
  cyclone_lcell hilo_33_3_48_ (
        .combout(hilo_33_3[48]),
        .dataa(hilo_48),
        .datab(addop2),
        .datac(addnop2),
        .datad(hilo_33_3_a[48]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_3_48_.operation_mode="normal";
defparam hilo_33_3_48_.output_mode="comb_only";
defparam hilo_33_3_48_.lut_mask="82be";
defparam hilo_33_3_48_.synch_mode="off";
defparam hilo_33_3_48_.sum_lutc_input="datac";
// @5:673
  cyclone_lcell hilo_33_3_a_48_ (
        .combout(hilo_33_3_a[48]),
        .dataa(addop2),
        .datab(un59_hilo_add16),
        .datac(un50_hilo_add16),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_3_a_48_.operation_mode="normal";
defparam hilo_33_3_a_48_.output_mode="comb_only";
defparam hilo_33_3_a_48_.lut_mask="2727";
defparam hilo_33_3_a_48_.synch_mode="off";
defparam hilo_33_3_a_48_.sum_lutc_input="datac";
// @5:673
  cyclone_lcell hilo_33_3_51_ (
        .combout(hilo_33_3[51]),
        .dataa(hilo_51),
        .datab(addop2),
        .datac(addnop2),
        .datad(hilo_33_3_a[51]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_3_51_.operation_mode="normal";
defparam hilo_33_3_51_.output_mode="comb_only";
defparam hilo_33_3_51_.lut_mask="82be";
defparam hilo_33_3_51_.synch_mode="off";
defparam hilo_33_3_51_.sum_lutc_input="datac";
// @5:673
  cyclone_lcell hilo_33_3_a_51_ (
        .combout(hilo_33_3_a[51]),
        .dataa(addop2),
        .datab(un59_hilo_add19),
        .datac(un50_hilo_add19),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_3_a_51_.operation_mode="normal";
defparam hilo_33_3_a_51_.output_mode="comb_only";
defparam hilo_33_3_a_51_.lut_mask="2727";
defparam hilo_33_3_a_51_.synch_mode="off";
defparam hilo_33_3_a_51_.sum_lutc_input="datac";
// @5:673
  cyclone_lcell hilo_33_3_55_ (
        .combout(hilo_33_3[55]),
        .dataa(hilo_55),
        .datab(addop2),
        .datac(addnop2),
        .datad(hilo_33_3_a[55]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_3_55_.operation_mode="normal";
defparam hilo_33_3_55_.output_mode="comb_only";
defparam hilo_33_3_55_.lut_mask="82be";
defparam hilo_33_3_55_.synch_mode="off";
defparam hilo_33_3_55_.sum_lutc_input="datac";
// @5:673
  cyclone_lcell hilo_33_3_a_55_ (
        .combout(hilo_33_3_a[55]),
        .dataa(addop2),
        .datab(un59_hilo_add23),
        .datac(un50_hilo_add23),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_3_a_55_.operation_mode="normal";
defparam hilo_33_3_a_55_.output_mode="comb_only";
defparam hilo_33_3_a_55_.lut_mask="2727";
defparam hilo_33_3_a_55_.synch_mode="off";
defparam hilo_33_3_a_55_.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_114_cZ (
        .combout(eqop2_2_NE_114),
        .dataa(op2_reged[19]),
        .datab(op2_reged[3]),
        .datac(hilo_35),
        .datad(hilo_51),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_114_cZ.operation_mode="normal";
defparam eqop2_2_NE_114_cZ.output_mode="comb_only";
defparam eqop2_2_NE_114_cZ.lut_mask="7dbe";
defparam eqop2_2_NE_114_cZ.synch_mode="off";
defparam eqop2_2_NE_114_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_118_cZ (
        .combout(eqop2_2_NE_118),
        .dataa(op2_reged[23]),
        .datab(op2_reged[7]),
        .datac(hilo_55),
        .datad(hilo_39),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_118_cZ.operation_mode="normal";
defparam eqop2_2_NE_118_cZ.output_mode="comb_only";
defparam eqop2_2_NE_118_cZ.lut_mask="7bde";
defparam eqop2_2_NE_118_cZ.synch_mode="off";
defparam eqop2_2_NE_118_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_119_cZ (
        .combout(eqop2_2_NE_119),
        .dataa(op2_reged[24]),
        .datab(op2_reged[8]),
        .datac(hilo_56),
        .datad(hilo_40),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_119_cZ.operation_mode="normal";
defparam eqop2_2_NE_119_cZ.output_mode="comb_only";
defparam eqop2_2_NE_119_cZ.lut_mask="7bde";
defparam eqop2_2_NE_119_cZ.synch_mode="off";
defparam eqop2_2_NE_119_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_120_cZ (
        .combout(eqop2_2_NE_120),
        .dataa(op2_reged[25]),
        .datab(op2_reged[9]),
        .datac(hilo_57),
        .datad(hilo_41),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_120_cZ.operation_mode="normal";
defparam eqop2_2_NE_120_cZ.output_mode="comb_only";
defparam eqop2_2_NE_120_cZ.lut_mask="7bde";
defparam eqop2_2_NE_120_cZ.synch_mode="off";
defparam eqop2_2_NE_120_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_122_cZ (
        .combout(eqop2_2_NE_122),
        .dataa(op2_reged[27]),
        .datab(op2_reged[11]),
        .datac(hilo_59),
        .datad(hilo_43),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_122_cZ.operation_mode="normal";
defparam eqop2_2_NE_122_cZ.output_mode="comb_only";
defparam eqop2_2_NE_122_cZ.lut_mask="7bde";
defparam eqop2_2_NE_122_cZ.synch_mode="off";
defparam eqop2_2_NE_122_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_123_cZ (
        .combout(eqop2_2_NE_123),
        .dataa(op2_reged[28]),
        .datab(op2_reged[12]),
        .datac(hilo_60),
        .datad(hilo_44),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_123_cZ.operation_mode="normal";
defparam eqop2_2_NE_123_cZ.output_mode="comb_only";
defparam eqop2_2_NE_123_cZ.lut_mask="7bde";
defparam eqop2_2_NE_123_cZ.synch_mode="off";
defparam eqop2_2_NE_123_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_125_cZ (
        .combout(eqop2_2_NE_125),
        .dataa(op2_reged[30]),
        .datab(op2_reged[14]),
        .datac(hilo_62),
        .datad(hilo_46),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_125_cZ.operation_mode="normal";
defparam eqop2_2_NE_125_cZ.output_mode="comb_only";
defparam eqop2_2_NE_125_cZ.lut_mask="7bde";
defparam eqop2_2_NE_125_cZ.synch_mode="off";
defparam eqop2_2_NE_125_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_126_cZ (
        .combout(eqop2_2_NE_126),
        .dataa(op2_reged[31]),
        .datab(op2_reged[15]),
        .datac(hilo_63),
        .datad(hilo_47),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_126_cZ.operation_mode="normal";
defparam eqop2_2_NE_126_cZ.output_mode="comb_only";
defparam eqop2_2_NE_126_cZ.lut_mask="7bde";
defparam eqop2_2_NE_126_cZ.synch_mode="off";
defparam eqop2_2_NE_126_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_129_cZ (
        .combout(eqnop2_2_NE_129),
        .dataa(hilo_33),
        .datab(hilo_49),
        .datac(nop2_reged[1]),
        .datad(nop2_reged[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_129_cZ.operation_mode="normal";
defparam eqnop2_2_NE_129_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_129_cZ.lut_mask="7bde";
defparam eqnop2_2_NE_129_cZ.synch_mode="off";
defparam eqnop2_2_NE_129_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_131_cZ (
        .combout(eqnop2_2_NE_131),
        .dataa(hilo_35),
        .datab(hilo_51),
        .datac(nop2_reged[3]),
        .datad(nop2_reged[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_131_cZ.operation_mode="normal";
defparam eqnop2_2_NE_131_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_131_cZ.lut_mask="7bde";
defparam eqnop2_2_NE_131_cZ.synch_mode="off";
defparam eqnop2_2_NE_131_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_134_cZ (
        .combout(eqnop2_2_NE_134),
        .dataa(hilo_38),
        .datab(hilo_54),
        .datac(nop2_reged[6]),
        .datad(nop2_reged[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_134_cZ.operation_mode="normal";
defparam eqnop2_2_NE_134_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_134_cZ.lut_mask="7bde";
defparam eqnop2_2_NE_134_cZ.synch_mode="off";
defparam eqnop2_2_NE_134_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_137_cZ (
        .combout(eqnop2_2_NE_137),
        .dataa(hilo_57),
        .datab(hilo_41),
        .datac(nop2_reged[9]),
        .datad(nop2_reged[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_137_cZ.operation_mode="normal";
defparam eqnop2_2_NE_137_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_137_cZ.lut_mask="7dbe";
defparam eqnop2_2_NE_137_cZ.synch_mode="off";
defparam eqnop2_2_NE_137_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_138_cZ (
        .combout(eqnop2_2_NE_138),
        .dataa(hilo_58),
        .datab(hilo_42),
        .datac(nop2_reged[10]),
        .datad(nop2_reged[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_138_cZ.operation_mode="normal";
defparam eqnop2_2_NE_138_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_138_cZ.lut_mask="7dbe";
defparam eqnop2_2_NE_138_cZ.synch_mode="off";
defparam eqnop2_2_NE_138_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_139_cZ (
        .combout(eqnop2_2_NE_139),
        .dataa(hilo_59),
        .datab(hilo_43),
        .datac(nop2_reged[11]),
        .datad(nop2_reged[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_139_cZ.operation_mode="normal";
defparam eqnop2_2_NE_139_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_139_cZ.lut_mask="7dbe";
defparam eqnop2_2_NE_139_cZ.synch_mode="off";
defparam eqnop2_2_NE_139_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_143_cZ (
        .combout(eqnop2_2_NE_143),
        .dataa(hilo_63),
        .datab(hilo_47),
        .datac(nop2_reged[15]),
        .datad(nop2_reged[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_143_cZ.operation_mode="normal";
defparam eqnop2_2_NE_143_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_143_cZ.lut_mask="7dbe";
defparam eqnop2_2_NE_143_cZ.synch_mode="off";
defparam eqnop2_2_NE_143_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_5_i_m_0_a2_0_55_ (
        .combout(hilo_5_i_m_0_a2_0[55]),
        .dataa(hilo_55),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_5_i_m_0_a2_0_55_.operation_mode="normal";
defparam hilo_5_i_m_0_a2_0_55_.output_mode="comb_only";
defparam hilo_5_i_m_0_a2_0_55_.lut_mask="1010";
defparam hilo_5_i_m_0_a2_0_55_.synch_mode="off";
defparam hilo_5_i_m_0_a2_0_55_.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_112_0_cZ (
        .combout(eqop2_2_NE_112_0),
        .dataa(op2_reged[1]),
        .datab(op2_reged[17]),
        .datac(hilo_33),
        .datad(hilo_49),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_112_0_cZ.operation_mode="normal";
defparam eqop2_2_NE_112_0_cZ.output_mode="comb_only";
defparam eqop2_2_NE_112_0_cZ.lut_mask="7bde";
defparam eqop2_2_NE_112_0_cZ.synch_mode="off";
defparam eqop2_2_NE_112_0_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_113_0_0_cZ (
        .combout(eqop2_2_NE_113_0_0),
        .dataa(op2_reged[2]),
        .datab(op2_reged[18]),
        .datac(hilo_34),
        .datad(hilo_50),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_113_0_0_cZ.operation_mode="normal";
defparam eqop2_2_NE_113_0_0_cZ.output_mode="comb_only";
defparam eqop2_2_NE_113_0_0_cZ.lut_mask="7bde";
defparam eqop2_2_NE_113_0_0_cZ.synch_mode="off";
defparam eqop2_2_NE_113_0_0_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_116_0_cZ (
        .combout(eqop2_2_NE_116_0),
        .dataa(op2_reged[5]),
        .datab(op2_reged[21]),
        .datac(hilo_37),
        .datad(hilo_53),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_116_0_cZ.operation_mode="normal";
defparam eqop2_2_NE_116_0_cZ.output_mode="comb_only";
defparam eqop2_2_NE_116_0_cZ.lut_mask="7bde";
defparam eqop2_2_NE_116_0_cZ.synch_mode="off";
defparam eqop2_2_NE_116_0_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_117_0_cZ (
        .combout(eqop2_2_NE_117_0),
        .dataa(op2_reged[6]),
        .datab(op2_reged[22]),
        .datac(hilo_38),
        .datad(hilo_54),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_117_0_cZ.operation_mode="normal";
defparam eqop2_2_NE_117_0_cZ.output_mode="comb_only";
defparam eqop2_2_NE_117_0_cZ.lut_mask="7bde";
defparam eqop2_2_NE_117_0_cZ.synch_mode="off";
defparam eqop2_2_NE_117_0_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_130_0_0_cZ (
        .combout(eqnop2_2_NE_130_0_0),
        .dataa(hilo_34),
        .datab(hilo_50),
        .datac(nop2_reged[2]),
        .datad(nop2_reged[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_130_0_0_cZ.operation_mode="normal";
defparam eqnop2_2_NE_130_0_0_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_130_0_0_cZ.lut_mask="7bde";
defparam eqnop2_2_NE_130_0_0_cZ.synch_mode="off";
defparam eqnop2_2_NE_130_0_0_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqnop2_2_NE_133_0_cZ (
        .combout(eqnop2_2_NE_133_0),
        .dataa(hilo_37),
        .datab(hilo_53),
        .datac(nop2_reged[5]),
        .datad(nop2_reged[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_NE_133_0_cZ.operation_mode="normal";
defparam eqnop2_2_NE_133_0_cZ.output_mode="comb_only";
defparam eqnop2_2_NE_133_0_cZ.lut_mask="7bde";
defparam eqnop2_2_NE_133_0_cZ.synch_mode="off";
defparam eqnop2_2_NE_133_0_cZ.sum_lutc_input="datac";
// @5:155
  cyclone_lcell eqop2_2_NE_124_i_a3_i_cZ (
        .combout(eqop2_2_NE_124_i_a3_i),
        .dataa(op2_reged[13]),
        .datab(op2_reged[29]),
        .datac(hilo_61),
        .datad(hilo_45),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_NE_124_i_a3_i_cZ.operation_mode="normal";
defparam eqop2_2_NE_124_i_a3_i_cZ.output_mode="comb_only";
defparam eqop2_2_NE_124_i_a3_i_cZ.lut_mask="7dbe";
defparam eqop2_2_NE_124_i_a3_i_cZ.synch_mode="off";
defparam eqop2_2_NE_124_i_a3_i_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_o2_9_ (
        .combout(hilo_37_iv_0_o2[9]),
        .dataa(rdy),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_o2_9_.operation_mode="normal";
defparam hilo_37_iv_0_o2_9_.output_mode="comb_only";
defparam hilo_37_iv_0_o2_9_.lut_mask="3a3a";
defparam hilo_37_iv_0_o2_9_.synch_mode="off";
defparam hilo_37_iv_0_o2_9_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_o2_0_9_ (
        .combout(hilo_37_iv_0_o2_0[9]),
        .dataa(add1),
        .datab(alu_func_o_0),
        .datac(hilo25),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_o2_0_9_.operation_mode="normal";
defparam hilo_37_iv_0_o2_0_9_.output_mode="comb_only";
defparam hilo_37_iv_0_o2_0_9_.lut_mask="d5c0";
defparam hilo_37_iv_0_o2_0_9_.synch_mode="off";
defparam hilo_37_iv_0_o2_0_9_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_32_ (
        .combout(un1_op2_reged_1_combout[32]),
        .dataa(hilo_64),
        .datab(op2_sign_reged),
        .datac(nop2_reged[32]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_32_.operation_mode="normal";
defparam un1_op2_reged_1_32_.output_mode="comb_only";
defparam un1_op2_reged_1_32_.lut_mask="d4d4";
defparam un1_op2_reged_1_32_.synch_mode="off";
defparam un1_op2_reged_1_32_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_31_ (
        .combout(un1_op2_reged_1_combout[31]),
        .dataa(op2_reged[31]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_31_.operation_mode="normal";
defparam un1_op2_reged_1_31_.output_mode="comb_only";
defparam un1_op2_reged_1_31_.lut_mask="eb28";
defparam un1_op2_reged_1_31_.synch_mode="off";
defparam un1_op2_reged_1_31_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_30_ (
        .combout(un1_op2_reged_1_combout[30]),
        .dataa(op2_reged[30]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_30_.operation_mode="normal";
defparam un1_op2_reged_1_30_.output_mode="comb_only";
defparam un1_op2_reged_1_30_.lut_mask="eb28";
defparam un1_op2_reged_1_30_.synch_mode="off";
defparam un1_op2_reged_1_30_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_29_ (
        .combout(un1_op2_reged_1_combout[29]),
        .dataa(op2_reged[29]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_29_.operation_mode="normal";
defparam un1_op2_reged_1_29_.output_mode="comb_only";
defparam un1_op2_reged_1_29_.lut_mask="eb28";
defparam un1_op2_reged_1_29_.synch_mode="off";
defparam un1_op2_reged_1_29_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_28_ (
        .combout(un1_op2_reged_1_combout[28]),
        .dataa(op2_reged[28]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_28_.operation_mode="normal";
defparam un1_op2_reged_1_28_.output_mode="comb_only";
defparam un1_op2_reged_1_28_.lut_mask="eb28";
defparam un1_op2_reged_1_28_.synch_mode="off";
defparam un1_op2_reged_1_28_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_27_ (
        .combout(un1_op2_reged_1_combout[27]),
        .dataa(op2_reged[27]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_27_.operation_mode="normal";
defparam un1_op2_reged_1_27_.output_mode="comb_only";
defparam un1_op2_reged_1_27_.lut_mask="eb28";
defparam un1_op2_reged_1_27_.synch_mode="off";
defparam un1_op2_reged_1_27_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_26_ (
        .combout(un1_op2_reged_1_combout[26]),
        .dataa(op2_reged[26]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_26_.operation_mode="normal";
defparam un1_op2_reged_1_26_.output_mode="comb_only";
defparam un1_op2_reged_1_26_.lut_mask="eb28";
defparam un1_op2_reged_1_26_.synch_mode="off";
defparam un1_op2_reged_1_26_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_25_ (
        .combout(un1_op2_reged_1_combout[25]),
        .dataa(op2_reged[25]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_25_.operation_mode="normal";
defparam un1_op2_reged_1_25_.output_mode="comb_only";
defparam un1_op2_reged_1_25_.lut_mask="eb28";
defparam un1_op2_reged_1_25_.synch_mode="off";
defparam un1_op2_reged_1_25_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_24_ (
        .combout(un1_op2_reged_1_combout[24]),
        .dataa(op2_reged[24]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_24_.operation_mode="normal";
defparam un1_op2_reged_1_24_.output_mode="comb_only";
defparam un1_op2_reged_1_24_.lut_mask="eb28";
defparam un1_op2_reged_1_24_.synch_mode="off";
defparam un1_op2_reged_1_24_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_23_ (
        .combout(un1_op2_reged_1_combout[23]),
        .dataa(op2_reged[23]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_23_.operation_mode="normal";
defparam un1_op2_reged_1_23_.output_mode="comb_only";
defparam un1_op2_reged_1_23_.lut_mask="eb28";
defparam un1_op2_reged_1_23_.synch_mode="off";
defparam un1_op2_reged_1_23_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_22_ (
        .combout(un1_op2_reged_1_combout[22]),
        .dataa(op2_reged[22]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_22_.operation_mode="normal";
defparam un1_op2_reged_1_22_.output_mode="comb_only";
defparam un1_op2_reged_1_22_.lut_mask="eb28";
defparam un1_op2_reged_1_22_.synch_mode="off";
defparam un1_op2_reged_1_22_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_21_ (
        .combout(un1_op2_reged_1_combout[21]),
        .dataa(op2_reged[21]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_21_.operation_mode="normal";
defparam un1_op2_reged_1_21_.output_mode="comb_only";
defparam un1_op2_reged_1_21_.lut_mask="eb28";
defparam un1_op2_reged_1_21_.synch_mode="off";
defparam un1_op2_reged_1_21_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_20_ (
        .combout(un1_op2_reged_1_combout[20]),
        .dataa(op2_reged[20]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_20_.operation_mode="normal";
defparam un1_op2_reged_1_20_.output_mode="comb_only";
defparam un1_op2_reged_1_20_.lut_mask="eb28";
defparam un1_op2_reged_1_20_.synch_mode="off";
defparam un1_op2_reged_1_20_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_19_ (
        .combout(un1_op2_reged_1_combout[19]),
        .dataa(op2_reged[19]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_19_.operation_mode="normal";
defparam un1_op2_reged_1_19_.output_mode="comb_only";
defparam un1_op2_reged_1_19_.lut_mask="eb28";
defparam un1_op2_reged_1_19_.synch_mode="off";
defparam un1_op2_reged_1_19_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_18_ (
        .combout(un1_op2_reged_1_combout[18]),
        .dataa(op2_reged[18]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_18_.operation_mode="normal";
defparam un1_op2_reged_1_18_.output_mode="comb_only";
defparam un1_op2_reged_1_18_.lut_mask="eb28";
defparam un1_op2_reged_1_18_.synch_mode="off";
defparam un1_op2_reged_1_18_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_17_ (
        .combout(un1_op2_reged_1_combout[17]),
        .dataa(op2_reged[17]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_17_.operation_mode="normal";
defparam un1_op2_reged_1_17_.output_mode="comb_only";
defparam un1_op2_reged_1_17_.lut_mask="eb28";
defparam un1_op2_reged_1_17_.synch_mode="off";
defparam un1_op2_reged_1_17_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_16_ (
        .combout(un1_op2_reged_1_combout[16]),
        .dataa(op2_reged[16]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_16_.operation_mode="normal";
defparam un1_op2_reged_1_16_.output_mode="comb_only";
defparam un1_op2_reged_1_16_.lut_mask="eb28";
defparam un1_op2_reged_1_16_.synch_mode="off";
defparam un1_op2_reged_1_16_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_15_ (
        .combout(un1_op2_reged_1_combout[15]),
        .dataa(op2_reged[15]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_15_.operation_mode="normal";
defparam un1_op2_reged_1_15_.output_mode="comb_only";
defparam un1_op2_reged_1_15_.lut_mask="eb28";
defparam un1_op2_reged_1_15_.synch_mode="off";
defparam un1_op2_reged_1_15_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_14_ (
        .combout(un1_op2_reged_1_combout[14]),
        .dataa(op2_reged[14]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_14_.operation_mode="normal";
defparam un1_op2_reged_1_14_.output_mode="comb_only";
defparam un1_op2_reged_1_14_.lut_mask="eb28";
defparam un1_op2_reged_1_14_.synch_mode="off";
defparam un1_op2_reged_1_14_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_13_ (
        .combout(un1_op2_reged_1_combout[13]),
        .dataa(op2_reged[13]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_13_.operation_mode="normal";
defparam un1_op2_reged_1_13_.output_mode="comb_only";
defparam un1_op2_reged_1_13_.lut_mask="eb28";
defparam un1_op2_reged_1_13_.synch_mode="off";
defparam un1_op2_reged_1_13_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_12_ (
        .combout(un1_op2_reged_1_combout[12]),
        .dataa(op2_reged[12]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_12_.operation_mode="normal";
defparam un1_op2_reged_1_12_.output_mode="comb_only";
defparam un1_op2_reged_1_12_.lut_mask="eb28";
defparam un1_op2_reged_1_12_.synch_mode="off";
defparam un1_op2_reged_1_12_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_11_ (
        .combout(un1_op2_reged_1_combout[11]),
        .dataa(op2_reged[11]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_11_.operation_mode="normal";
defparam un1_op2_reged_1_11_.output_mode="comb_only";
defparam un1_op2_reged_1_11_.lut_mask="eb28";
defparam un1_op2_reged_1_11_.synch_mode="off";
defparam un1_op2_reged_1_11_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_10_ (
        .combout(un1_op2_reged_1_combout[10]),
        .dataa(op2_reged[10]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_10_.operation_mode="normal";
defparam un1_op2_reged_1_10_.output_mode="comb_only";
defparam un1_op2_reged_1_10_.lut_mask="eb28";
defparam un1_op2_reged_1_10_.synch_mode="off";
defparam un1_op2_reged_1_10_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_9_ (
        .combout(un1_op2_reged_1_combout[9]),
        .dataa(op2_reged[9]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_9_.operation_mode="normal";
defparam un1_op2_reged_1_9_.output_mode="comb_only";
defparam un1_op2_reged_1_9_.lut_mask="eb28";
defparam un1_op2_reged_1_9_.synch_mode="off";
defparam un1_op2_reged_1_9_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_8_ (
        .combout(un1_op2_reged_1_combout[8]),
        .dataa(op2_reged[8]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_8_.operation_mode="normal";
defparam un1_op2_reged_1_8_.output_mode="comb_only";
defparam un1_op2_reged_1_8_.lut_mask="eb28";
defparam un1_op2_reged_1_8_.synch_mode="off";
defparam un1_op2_reged_1_8_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_7_ (
        .combout(un1_op2_reged_1_combout[7]),
        .dataa(op2_reged[7]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_7_.operation_mode="normal";
defparam un1_op2_reged_1_7_.output_mode="comb_only";
defparam un1_op2_reged_1_7_.lut_mask="eb28";
defparam un1_op2_reged_1_7_.synch_mode="off";
defparam un1_op2_reged_1_7_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_6_ (
        .combout(un1_op2_reged_1_combout[6]),
        .dataa(op2_reged[6]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_6_.operation_mode="normal";
defparam un1_op2_reged_1_6_.output_mode="comb_only";
defparam un1_op2_reged_1_6_.lut_mask="eb28";
defparam un1_op2_reged_1_6_.synch_mode="off";
defparam un1_op2_reged_1_6_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_5_ (
        .combout(un1_op2_reged_1_combout[5]),
        .dataa(op2_reged[5]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_5_.operation_mode="normal";
defparam un1_op2_reged_1_5_.output_mode="comb_only";
defparam un1_op2_reged_1_5_.lut_mask="eb28";
defparam un1_op2_reged_1_5_.synch_mode="off";
defparam un1_op2_reged_1_5_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_4_ (
        .combout(un1_op2_reged_1_combout[4]),
        .dataa(op2_reged[4]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_4_.operation_mode="normal";
defparam un1_op2_reged_1_4_.output_mode="comb_only";
defparam un1_op2_reged_1_4_.lut_mask="eb28";
defparam un1_op2_reged_1_4_.synch_mode="off";
defparam un1_op2_reged_1_4_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_3_ (
        .combout(un1_op2_reged_1_combout[3]),
        .dataa(op2_reged[3]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_3_.operation_mode="normal";
defparam un1_op2_reged_1_3_.output_mode="comb_only";
defparam un1_op2_reged_1_3_.lut_mask="eb28";
defparam un1_op2_reged_1_3_.synch_mode="off";
defparam un1_op2_reged_1_3_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_2_ (
        .combout(un1_op2_reged_1_combout[2]),
        .dataa(op2_reged[2]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_2_.operation_mode="normal";
defparam un1_op2_reged_1_2_.output_mode="comb_only";
defparam un1_op2_reged_1_2_.lut_mask="eb28";
defparam un1_op2_reged_1_2_.synch_mode="off";
defparam un1_op2_reged_1_2_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell un1_op2_reged_1_1_ (
        .combout(un1_op2_reged_1_combout[1]),
        .dataa(op2_reged[1]),
        .datab(hilo_64),
        .datac(op2_sign_reged),
        .datad(nop2_reged[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_op2_reged_1_1_.operation_mode="normal";
defparam un1_op2_reged_1_1_.output_mode="comb_only";
defparam un1_op2_reged_1_1_.lut_mask="eb28";
defparam un1_op2_reged_1_1_.synch_mode="off";
defparam un1_op2_reged_1_1_.sum_lutc_input="datac";
// @5:581
  cyclone_lcell addop2_0_sqmuxa_cZ (
        .combout(addop2_0_sqmuxa),
        .dataa(finish),
        .datab(count_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam addop2_0_sqmuxa_cZ.operation_mode="normal";
defparam addop2_0_sqmuxa_cZ.output_mode="comb_only";
defparam addop2_0_sqmuxa_cZ.lut_mask="8888";
defparam addop2_0_sqmuxa_cZ.synch_mode="off";
defparam addop2_0_sqmuxa_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell addnop2109_cZ (
        .combout(addnop2109),
        .dataa(VCC),
        .datab(VCC),
        .datac(rdy),
        .datad(hilo25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam addnop2109_cZ.operation_mode="normal";
defparam addnop2109_cZ.output_mode="comb_only";
defparam addnop2109_cZ.lut_mask="00f0";
defparam addnop2109_cZ.synch_mode="off";
defparam addnop2109_cZ.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_39_ (
        .combout(hilo_15_2[39]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add8),
        .datac(un50_hilo_add8),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_39_.operation_mode="normal";
defparam hilo_15_2_39_.output_mode="comb_only";
defparam hilo_15_2_39_.lut_mask="d8d8";
defparam hilo_15_2_39_.synch_mode="off";
defparam hilo_15_2_39_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_41_ (
        .combout(hilo_15_2[41]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add10),
        .datac(un50_hilo_add10),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_41_.operation_mode="normal";
defparam hilo_15_2_41_.output_mode="comb_only";
defparam hilo_15_2_41_.lut_mask="d8d8";
defparam hilo_15_2_41_.synch_mode="off";
defparam hilo_15_2_41_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_42_ (
        .combout(hilo_15_2[42]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add11),
        .datac(un50_hilo_add11),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_42_.operation_mode="normal";
defparam hilo_15_2_42_.output_mode="comb_only";
defparam hilo_15_2_42_.lut_mask="d8d8";
defparam hilo_15_2_42_.synch_mode="off";
defparam hilo_15_2_42_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_44_ (
        .combout(hilo_15_2[44]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add13),
        .datac(un50_hilo_add13),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_44_.operation_mode="normal";
defparam hilo_15_2_44_.output_mode="comb_only";
defparam hilo_15_2_44_.lut_mask="d8d8";
defparam hilo_15_2_44_.synch_mode="off";
defparam hilo_15_2_44_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_45_ (
        .combout(hilo_15_2[45]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add14),
        .datac(un50_hilo_add14),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_45_.operation_mode="normal";
defparam hilo_15_2_45_.output_mode="comb_only";
defparam hilo_15_2_45_.lut_mask="d8d8";
defparam hilo_15_2_45_.synch_mode="off";
defparam hilo_15_2_45_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_46_ (
        .combout(hilo_15_2[46]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add15),
        .datac(un50_hilo_add15),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_46_.operation_mode="normal";
defparam hilo_15_2_46_.output_mode="comb_only";
defparam hilo_15_2_46_.lut_mask="d8d8";
defparam hilo_15_2_46_.synch_mode="off";
defparam hilo_15_2_46_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_47_ (
        .combout(hilo_15_2[47]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add16),
        .datac(un50_hilo_add16),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_47_.operation_mode="normal";
defparam hilo_15_2_47_.output_mode="comb_only";
defparam hilo_15_2_47_.lut_mask="d8d8";
defparam hilo_15_2_47_.synch_mode="off";
defparam hilo_15_2_47_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_51_ (
        .combout(hilo_15_2[51]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add20),
        .datac(un50_hilo_add20),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_51_.operation_mode="normal";
defparam hilo_15_2_51_.output_mode="comb_only";
defparam hilo_15_2_51_.lut_mask="d8d8";
defparam hilo_15_2_51_.synch_mode="off";
defparam hilo_15_2_51_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_53_ (
        .combout(hilo_15_2[53]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add22),
        .datac(un50_hilo_add22),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_53_.operation_mode="normal";
defparam hilo_15_2_53_.output_mode="comb_only";
defparam hilo_15_2_53_.lut_mask="d8d8";
defparam hilo_15_2_53_.synch_mode="off";
defparam hilo_15_2_53_.sum_lutc_input="datac";
// @5:654
  cyclone_lcell hilo_19_39_ (
        .combout(hilo_19_Z[39]),
        .dataa(hilo_39),
        .datab(hilo_0),
        .datac(un59_hilo_add7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_19_39_.operation_mode="normal";
defparam hilo_19_39_.output_mode="comb_only";
defparam hilo_19_39_.lut_mask="e2e2";
defparam hilo_19_39_.synch_mode="off";
defparam hilo_19_39_.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_7_cZ (
        .combout(eqnop2_2_7),
        .dataa(hilo_39),
        .datab(nop2_reged[7]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_7_cZ.operation_mode="normal";
defparam eqnop2_2_7_cZ.output_mode="comb_only";
defparam eqnop2_2_7_cZ.lut_mask="6666";
defparam eqnop2_2_7_cZ.synch_mode="off";
defparam eqnop2_2_7_cZ.sum_lutc_input="datac";
// @5:689
  cyclone_lcell eqnop2_2_29_cZ (
        .combout(eqnop2_2_29),
        .dataa(hilo_61),
        .datab(nop2_reged[29]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqnop2_2_29_cZ.operation_mode="normal";
defparam eqnop2_2_29_cZ.output_mode="comb_only";
defparam eqnop2_2_29_cZ.lut_mask="6666";
defparam eqnop2_2_29_cZ.synch_mode="off";
defparam eqnop2_2_29_cZ.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_0_cZ (
        .combout(eqop2_2_0),
        .dataa(op2_reged[0]),
        .datab(hilo_32),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_0_cZ.operation_mode="normal";
defparam eqop2_2_0_cZ.output_mode="comb_only";
defparam eqop2_2_0_cZ.lut_mask="6666";
defparam eqop2_2_0_cZ.synch_mode="off";
defparam eqop2_2_0_cZ.sum_lutc_input="datac";
// @5:605
  cyclone_lcell hilo_5_48_ (
        .combout(hilo_5_Z[48]),
        .dataa(hilo_48),
        .datab(alu_func_o_0),
        .datac(a_o_i_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_5_48_.operation_mode="normal";
defparam hilo_5_48_.output_mode="comb_only";
defparam hilo_5_48_.lut_mask="2e2e";
defparam hilo_5_48_.synch_mode="off";
defparam hilo_5_48_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_16_ (
        .combout(hilo_8_Z[16]),
        .dataa(hilo_16),
        .datab(alu_func_o_0),
        .datac(a_o_i_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_16_.operation_mode="normal";
defparam hilo_8_16_.output_mode="comb_only";
defparam hilo_8_16_.lut_mask="8b8b";
defparam hilo_8_16_.synch_mode="off";
defparam hilo_8_16_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_19_ (
        .combout(hilo_8_Z[19]),
        .dataa(hilo_19),
        .datab(alu_func_o_0),
        .datac(a_o_i_3),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_19_.operation_mode="normal";
defparam hilo_8_19_.output_mode="comb_only";
defparam hilo_8_19_.lut_mask="8b8b";
defparam hilo_8_19_.synch_mode="off";
defparam hilo_8_19_.sum_lutc_input="datac";
// @5:606
  cyclone_lcell hilo_8_23_ (
        .combout(hilo_8_Z[23]),
        .dataa(hilo_23),
        .datab(alu_func_o_0),
        .datac(a_o_i_7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_8_23_.operation_mode="normal";
defparam hilo_8_23_.output_mode="comb_only";
defparam hilo_8_23_.lut_mask="8b8b";
defparam hilo_8_23_.synch_mode="off";
defparam hilo_8_23_.sum_lutc_input="datac";
// @5:572
  cyclone_lcell un24_res_1_cZ (
        .combout(un24_res_1),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un24_res_1_cZ.operation_mode="normal";
defparam un24_res_1_cZ.output_mode="comb_only";
defparam un24_res_1_cZ.lut_mask="8888";
defparam un24_res_1_cZ.synch_mode="off";
defparam un24_res_1_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_i_m_0_a2_23_ (
        .combout(hilo_i_m_0_a2[23]),
        .dataa(hilo_23),
        .datab(hilo_0_sqmuxa),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_i_m_0_a2_23_.operation_mode="normal";
defparam hilo_i_m_0_a2_23_.output_mode="comb_only";
defparam hilo_i_m_0_a2_23_.lut_mask="4444";
defparam hilo_i_m_0_a2_23_.synch_mode="off";
defparam hilo_i_m_0_a2_23_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a2_0_a2_1_ (
        .combout(hilo_37_iv_0_a2_0_a2[1]),
        .dataa(VCC),
        .datab(VCC),
        .datac(alu_func_o_0),
        .datad(hilo25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a2_0_a2_1_.operation_mode="normal";
defparam hilo_37_iv_0_a2_0_a2_1_.output_mode="comb_only";
defparam hilo_37_iv_0_a2_0_a2_1_.lut_mask="0f00";
defparam hilo_37_iv_0_a2_0_a2_1_.synch_mode="off";
defparam hilo_37_iv_0_a2_0_a2_1_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a2_0_i_o2_35_ (
        .combout(hilo_37_iv_0_a2_0_i_o2[35]),
        .dataa(sub_or_yn),
        .datab(sign),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a2_0_i_o2_35_.operation_mode="normal";
defparam hilo_37_iv_0_a2_0_i_o2_35_.output_mode="comb_only";
defparam hilo_37_iv_0_a2_0_i_o2_35_.lut_mask="7777";
defparam hilo_37_iv_0_a2_0_i_o2_35_.synch_mode="off";
defparam hilo_37_iv_0_a2_0_i_o2_35_.sum_lutc_input="datac";
// @5:611
  cyclone_lcell un29_sign_1_0_o3_i_o2_cZ (
        .combout(un29_sign_1_0_o3_i_o2),
        .dataa(alu_func_o_1),
        .datab(alu_func_o_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un29_sign_1_0_o3_i_o2_cZ.operation_mode="normal";
defparam un29_sign_1_0_o3_i_o2_cZ.output_mode="comb_only";
defparam un29_sign_1_0_o3_i_o2_cZ.lut_mask="7777";
defparam un29_sign_1_0_o3_i_o2_cZ.synch_mode="off";
defparam un29_sign_1_0_o3_i_o2_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a2_6_o2_59_ (
        .combout(hilo_37_iv_0_a2_6_o2[59]),
        .dataa(sign),
        .datab(hilo_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a2_6_o2_59_.operation_mode="normal";
defparam hilo_37_iv_0_a2_6_o2_59_.output_mode="comb_only";
defparam hilo_37_iv_0_a2_6_o2_59_.lut_mask="7777";
defparam hilo_37_iv_0_a2_6_o2_59_.synch_mode="off";
defparam hilo_37_iv_0_a2_6_o2_59_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_i_m2_32_ (
        .combout(hilo_15_2_i_m2[32]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add1),
        .datac(un50_hilo_add1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_i_m2_32_.operation_mode="normal";
defparam hilo_15_2_i_m2_32_.output_mode="comb_only";
defparam hilo_15_2_i_m2_32_.lut_mask="d8d8";
defparam hilo_15_2_i_m2_32_.synch_mode="off";
defparam hilo_15_2_i_m2_32_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_i_m2_40_ (
        .combout(hilo_15_2_i_m2[40]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add9),
        .datac(un50_hilo_add9),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_i_m2_40_.operation_mode="normal";
defparam hilo_15_2_i_m2_40_.output_mode="comb_only";
defparam hilo_15_2_i_m2_40_.lut_mask="d8d8";
defparam hilo_15_2_i_m2_40_.synch_mode="off";
defparam hilo_15_2_i_m2_40_.sum_lutc_input="datac";
// @5:646
  cyclone_lcell hilo_15_2_i_m2_43_ (
        .combout(hilo_15_2_i_m2[43]),
        .dataa(sub_or_yn),
        .datab(un59_hilo_add12),
        .datac(un50_hilo_add12),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_2_i_m2_43_.operation_mode="normal";
defparam hilo_15_2_i_m2_43_.output_mode="comb_only";
defparam hilo_15_2_i_m2_43_.lut_mask="d8d8";
defparam hilo_15_2_i_m2_43_.synch_mode="off";
defparam hilo_15_2_i_m2_43_.sum_lutc_input="datac";
// @5:155
  cyclone_lcell hilo_15_1_63_ (
        .combout(hilo_15_1[63]),
        .dataa(sub_or_yn),
        .datab(hilo_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_15_1_63_.operation_mode="normal";
defparam hilo_15_1_63_.output_mode="comb_only";
defparam hilo_15_1_63_.lut_mask="6666";
defparam hilo_15_1_63_.synch_mode="off";
defparam hilo_15_1_63_.sum_lutc_input="datac";
// @5:155
  cyclone_lcell hilo_33_1_58_ (
        .combout(hilo_33_1[58]),
        .dataa(addop2),
        .datab(addnop2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_33_1_58_.operation_mode="normal";
defparam hilo_33_1_58_.output_mode="comb_only";
defparam hilo_33_1_58_.lut_mask="6666";
defparam hilo_33_1_58_.synch_mode="off";
defparam hilo_33_1_58_.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a3_3_1_30_ (
        .combout(hilo_37_iv_0_a3_3_1[30]),
        .dataa(VCC),
        .datab(VCC),
        .datac(add1),
        .datad(hilo_3_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a3_3_1_30_.operation_mode="normal";
defparam hilo_37_iv_0_a3_3_1_30_.output_mode="comb_only";
defparam hilo_37_iv_0_a3_3_1_30_.lut_mask="f000";
defparam hilo_37_iv_0_a3_3_1_30_.synch_mode="off";
defparam hilo_37_iv_0_a3_3_1_30_.sum_lutc_input="datac";
// @5:688
  cyclone_lcell eqop2_2_32_cZ (
        .combout(eqop2_2_32),
        .dataa(hilo_64),
        .datab(op2_sign_reged),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam eqop2_2_32_cZ.operation_mode="normal";
defparam eqop2_2_32_cZ.output_mode="comb_only";
defparam eqop2_2_32_cZ.lut_mask="6666";
defparam eqop2_2_32_cZ.synch_mode="off";
defparam eqop2_2_32_cZ.sum_lutc_input="datac";
// @5:583
  cyclone_lcell hilo_37_iv_0_a2_1_0_a2_29_ (
        .combout(hilo_37_iv_0_a2_1_0_a2[29]),
        .dataa(alu_func_o_0),
        .datab(hilo25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_37_iv_0_a2_1_0_a2_29_.operation_mode="normal";
defparam hilo_37_iv_0_a2_1_0_a2_29_.output_mode="comb_only";
defparam hilo_37_iv_0_a2_1_0_a2_29_.lut_mask="8888";
defparam hilo_37_iv_0_a2_1_0_a2_29_.synch_mode="off";
defparam hilo_37_iv_0_a2_1_0_a2_29_.sum_lutc_input="datac";
// @5:662
  cyclone_lcell hilo_24_add32_cZ (
        .combout(hilo_24_add32),
        .dataa(hilo_63),
        .datab(un1_op2_reged_1_combout[32]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_31),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add32_cZ.cin_used="true";
defparam hilo_24_add32_cZ.operation_mode="normal";
defparam hilo_24_add32_cZ.output_mode="comb_only";
defparam hilo_24_add32_cZ.lut_mask="9696";
defparam hilo_24_add32_cZ.synch_mode="off";
defparam hilo_24_add32_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add31_cZ (
        .combout(hilo_24_add31),
        .cout(hilo_24_carry_31),
        .dataa(hilo_62),
        .datab(un1_op2_reged_1_combout[31]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_30),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add31_cZ.cin_used="true";
defparam hilo_24_add31_cZ.operation_mode="arithmetic";
defparam hilo_24_add31_cZ.output_mode="comb_only";
defparam hilo_24_add31_cZ.lut_mask="96e8";
defparam hilo_24_add31_cZ.synch_mode="off";
defparam hilo_24_add31_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add30_cZ (
        .combout(hilo_24_add30),
        .cout(hilo_24_carry_30),
        .dataa(hilo_61),
        .datab(un1_op2_reged_1_combout[30]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_29),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add30_cZ.cin_used="true";
defparam hilo_24_add30_cZ.operation_mode="arithmetic";
defparam hilo_24_add30_cZ.output_mode="comb_only";
defparam hilo_24_add30_cZ.lut_mask="96e8";
defparam hilo_24_add30_cZ.synch_mode="off";
defparam hilo_24_add30_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add29_cZ (
        .combout(hilo_24_add29),
        .cout(hilo_24_carry_29),
        .dataa(hilo_60),
        .datab(un1_op2_reged_1_combout[29]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_28),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add29_cZ.cin_used="true";
defparam hilo_24_add29_cZ.operation_mode="arithmetic";
defparam hilo_24_add29_cZ.output_mode="comb_only";
defparam hilo_24_add29_cZ.lut_mask="96e8";
defparam hilo_24_add29_cZ.synch_mode="off";
defparam hilo_24_add29_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add28_cZ (
        .combout(hilo_24_add28),
        .cout(hilo_24_carry_28),
        .dataa(hilo_59),
        .datab(un1_op2_reged_1_combout[28]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_27),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add28_cZ.cin_used="true";
defparam hilo_24_add28_cZ.operation_mode="arithmetic";
defparam hilo_24_add28_cZ.output_mode="comb_only";
defparam hilo_24_add28_cZ.lut_mask="96e8";
defparam hilo_24_add28_cZ.synch_mode="off";
defparam hilo_24_add28_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add27_cZ (
        .combout(hilo_24_add27),
        .cout(hilo_24_carry_27),
        .dataa(hilo_58),
        .datab(un1_op2_reged_1_combout[27]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_26),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add27_cZ.cin_used="true";
defparam hilo_24_add27_cZ.operation_mode="arithmetic";
defparam hilo_24_add27_cZ.output_mode="comb_only";
defparam hilo_24_add27_cZ.lut_mask="96e8";
defparam hilo_24_add27_cZ.synch_mode="off";
defparam hilo_24_add27_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add26_cZ (
        .combout(hilo_24_add26),
        .cout(hilo_24_carry_26),
        .dataa(hilo_57),
        .datab(un1_op2_reged_1_combout[26]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_25),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add26_cZ.cin_used="true";
defparam hilo_24_add26_cZ.operation_mode="arithmetic";
defparam hilo_24_add26_cZ.output_mode="comb_only";
defparam hilo_24_add26_cZ.lut_mask="96e8";
defparam hilo_24_add26_cZ.synch_mode="off";
defparam hilo_24_add26_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add25_cZ (
        .combout(hilo_24_add25),
        .cout(hilo_24_carry_25),
        .dataa(hilo_56),
        .datab(un1_op2_reged_1_combout[25]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_24),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add25_cZ.cin_used="true";
defparam hilo_24_add25_cZ.operation_mode="arithmetic";
defparam hilo_24_add25_cZ.output_mode="comb_only";
defparam hilo_24_add25_cZ.lut_mask="96e8";
defparam hilo_24_add25_cZ.synch_mode="off";
defparam hilo_24_add25_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add24_cZ (
        .combout(hilo_24_add24),
        .cout(hilo_24_carry_24),
        .dataa(hilo_55),
        .datab(un1_op2_reged_1_combout[24]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_23),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add24_cZ.cin_used="true";
defparam hilo_24_add24_cZ.operation_mode="arithmetic";
defparam hilo_24_add24_cZ.output_mode="comb_only";
defparam hilo_24_add24_cZ.lut_mask="96e8";
defparam hilo_24_add24_cZ.synch_mode="off";
defparam hilo_24_add24_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add23_cZ (
        .combout(hilo_24_add23),
        .cout(hilo_24_carry_23),
        .dataa(hilo_54),
        .datab(un1_op2_reged_1_combout[23]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_22),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add23_cZ.cin_used="true";
defparam hilo_24_add23_cZ.operation_mode="arithmetic";
defparam hilo_24_add23_cZ.output_mode="comb_only";
defparam hilo_24_add23_cZ.lut_mask="96e8";
defparam hilo_24_add23_cZ.synch_mode="off";
defparam hilo_24_add23_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add22_cZ (
        .combout(hilo_24_add22),
        .cout(hilo_24_carry_22),
        .dataa(hilo_53),
        .datab(un1_op2_reged_1_combout[22]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_21),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add22_cZ.cin_used="true";
defparam hilo_24_add22_cZ.operation_mode="arithmetic";
defparam hilo_24_add22_cZ.output_mode="comb_only";
defparam hilo_24_add22_cZ.lut_mask="96e8";
defparam hilo_24_add22_cZ.synch_mode="off";
defparam hilo_24_add22_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add21_cZ (
        .combout(hilo_24_add21),
        .cout(hilo_24_carry_21),
        .dataa(hilo_52),
        .datab(un1_op2_reged_1_combout[21]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_20),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add21_cZ.cin_used="true";
defparam hilo_24_add21_cZ.operation_mode="arithmetic";
defparam hilo_24_add21_cZ.output_mode="comb_only";
defparam hilo_24_add21_cZ.lut_mask="96e8";
defparam hilo_24_add21_cZ.synch_mode="off";
defparam hilo_24_add21_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add20_cZ (
        .combout(hilo_24_add20),
        .cout(hilo_24_carry_20),
        .dataa(hilo_51),
        .datab(un1_op2_reged_1_combout[20]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_19),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add20_cZ.cin_used="true";
defparam hilo_24_add20_cZ.operation_mode="arithmetic";
defparam hilo_24_add20_cZ.output_mode="comb_only";
defparam hilo_24_add20_cZ.lut_mask="96e8";
defparam hilo_24_add20_cZ.synch_mode="off";
defparam hilo_24_add20_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add19_cZ (
        .combout(hilo_24_add19),
        .cout(hilo_24_carry_19),
        .dataa(hilo_50),
        .datab(un1_op2_reged_1_combout[19]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_18),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add19_cZ.cin_used="true";
defparam hilo_24_add19_cZ.operation_mode="arithmetic";
defparam hilo_24_add19_cZ.output_mode="comb_only";
defparam hilo_24_add19_cZ.lut_mask="96e8";
defparam hilo_24_add19_cZ.synch_mode="off";
defparam hilo_24_add19_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add18_cZ (
        .combout(hilo_24_add18),
        .cout(hilo_24_carry_18),
        .dataa(hilo_49),
        .datab(un1_op2_reged_1_combout[18]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_17),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add18_cZ.cin_used="true";
defparam hilo_24_add18_cZ.operation_mode="arithmetic";
defparam hilo_24_add18_cZ.output_mode="comb_only";
defparam hilo_24_add18_cZ.lut_mask="96e8";
defparam hilo_24_add18_cZ.synch_mode="off";
defparam hilo_24_add18_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add17_cZ (
        .combout(hilo_24_add17),
        .cout(hilo_24_carry_17),
        .dataa(hilo_48),
        .datab(un1_op2_reged_1_combout[17]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_16),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add17_cZ.cin_used="true";
defparam hilo_24_add17_cZ.operation_mode="arithmetic";
defparam hilo_24_add17_cZ.output_mode="comb_only";
defparam hilo_24_add17_cZ.lut_mask="96e8";
defparam hilo_24_add17_cZ.synch_mode="off";
defparam hilo_24_add17_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add16_cZ (
        .combout(hilo_24_add16),
        .cout(hilo_24_carry_16),
        .dataa(hilo_47),
        .datab(un1_op2_reged_1_combout[16]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_15),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add16_cZ.cin_used="true";
defparam hilo_24_add16_cZ.operation_mode="arithmetic";
defparam hilo_24_add16_cZ.output_mode="comb_only";
defparam hilo_24_add16_cZ.lut_mask="96e8";
defparam hilo_24_add16_cZ.synch_mode="off";
defparam hilo_24_add16_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add15_cZ (
        .combout(hilo_24_add15),
        .cout(hilo_24_carry_15),
        .dataa(hilo_46),
        .datab(un1_op2_reged_1_combout[15]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_14),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add15_cZ.cin_used="true";
defparam hilo_24_add15_cZ.operation_mode="arithmetic";
defparam hilo_24_add15_cZ.output_mode="comb_only";
defparam hilo_24_add15_cZ.lut_mask="96e8";
defparam hilo_24_add15_cZ.synch_mode="off";
defparam hilo_24_add15_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add14_cZ (
        .combout(hilo_24_add14),
        .cout(hilo_24_carry_14),
        .dataa(hilo_45),
        .datab(un1_op2_reged_1_combout[14]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_13),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add14_cZ.cin_used="true";
defparam hilo_24_add14_cZ.operation_mode="arithmetic";
defparam hilo_24_add14_cZ.output_mode="comb_only";
defparam hilo_24_add14_cZ.lut_mask="96e8";
defparam hilo_24_add14_cZ.synch_mode="off";
defparam hilo_24_add14_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add13_cZ (
        .combout(hilo_24_add13),
        .cout(hilo_24_carry_13),
        .dataa(hilo_44),
        .datab(un1_op2_reged_1_combout[13]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_12),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add13_cZ.cin_used="true";
defparam hilo_24_add13_cZ.operation_mode="arithmetic";
defparam hilo_24_add13_cZ.output_mode="comb_only";
defparam hilo_24_add13_cZ.lut_mask="96e8";
defparam hilo_24_add13_cZ.synch_mode="off";
defparam hilo_24_add13_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add12_cZ (
        .combout(hilo_24_add12),
        .cout(hilo_24_carry_12),
        .dataa(hilo_43),
        .datab(un1_op2_reged_1_combout[12]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_11),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add12_cZ.cin_used="true";
defparam hilo_24_add12_cZ.operation_mode="arithmetic";
defparam hilo_24_add12_cZ.output_mode="comb_only";
defparam hilo_24_add12_cZ.lut_mask="96e8";
defparam hilo_24_add12_cZ.synch_mode="off";
defparam hilo_24_add12_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add11_cZ (
        .combout(hilo_24_add11),
        .cout(hilo_24_carry_11),
        .dataa(hilo_42),
        .datab(un1_op2_reged_1_combout[11]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_10),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add11_cZ.cin_used="true";
defparam hilo_24_add11_cZ.operation_mode="arithmetic";
defparam hilo_24_add11_cZ.output_mode="comb_only";
defparam hilo_24_add11_cZ.lut_mask="96e8";
defparam hilo_24_add11_cZ.synch_mode="off";
defparam hilo_24_add11_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add10_cZ (
        .combout(hilo_24_add10),
        .cout(hilo_24_carry_10),
        .dataa(hilo_41),
        .datab(un1_op2_reged_1_combout[10]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_9),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add10_cZ.cin_used="true";
defparam hilo_24_add10_cZ.operation_mode="arithmetic";
defparam hilo_24_add10_cZ.output_mode="comb_only";
defparam hilo_24_add10_cZ.lut_mask="96e8";
defparam hilo_24_add10_cZ.synch_mode="off";
defparam hilo_24_add10_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add9_cZ (
        .combout(hilo_24_add9),
        .cout(hilo_24_carry_9),
        .dataa(hilo_40),
        .datab(un1_op2_reged_1_combout[9]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_8),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add9_cZ.cin_used="true";
defparam hilo_24_add9_cZ.operation_mode="arithmetic";
defparam hilo_24_add9_cZ.output_mode="comb_only";
defparam hilo_24_add9_cZ.lut_mask="96e8";
defparam hilo_24_add9_cZ.synch_mode="off";
defparam hilo_24_add9_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add8_cZ (
        .combout(hilo_24_add8),
        .cout(hilo_24_carry_8),
        .dataa(hilo_39),
        .datab(un1_op2_reged_1_combout[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_7),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add8_cZ.cin_used="true";
defparam hilo_24_add8_cZ.operation_mode="arithmetic";
defparam hilo_24_add8_cZ.output_mode="comb_only";
defparam hilo_24_add8_cZ.lut_mask="96e8";
defparam hilo_24_add8_cZ.synch_mode="off";
defparam hilo_24_add8_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add7_cZ (
        .combout(hilo_24_add7),
        .cout(hilo_24_carry_7),
        .dataa(hilo_38),
        .datab(un1_op2_reged_1_combout[7]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_6),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add7_cZ.cin_used="true";
defparam hilo_24_add7_cZ.operation_mode="arithmetic";
defparam hilo_24_add7_cZ.output_mode="comb_only";
defparam hilo_24_add7_cZ.lut_mask="96e8";
defparam hilo_24_add7_cZ.synch_mode="off";
defparam hilo_24_add7_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add6_cZ (
        .combout(hilo_24_add6),
        .cout(hilo_24_carry_6),
        .dataa(hilo_37),
        .datab(un1_op2_reged_1_combout[6]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_5),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add6_cZ.cin_used="true";
defparam hilo_24_add6_cZ.operation_mode="arithmetic";
defparam hilo_24_add6_cZ.output_mode="comb_only";
defparam hilo_24_add6_cZ.lut_mask="96e8";
defparam hilo_24_add6_cZ.synch_mode="off";
defparam hilo_24_add6_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add5_cZ (
        .combout(hilo_24_add5),
        .cout(hilo_24_carry_5),
        .dataa(hilo_36),
        .datab(un1_op2_reged_1_combout[5]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_4),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add5_cZ.cin_used="true";
defparam hilo_24_add5_cZ.operation_mode="arithmetic";
defparam hilo_24_add5_cZ.output_mode="comb_only";
defparam hilo_24_add5_cZ.lut_mask="96e8";
defparam hilo_24_add5_cZ.synch_mode="off";
defparam hilo_24_add5_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add4_cZ (
        .combout(hilo_24_add4),
        .cout(hilo_24_carry_4),
        .dataa(hilo_35),
        .datab(un1_op2_reged_1_combout[4]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_3),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add4_cZ.cin_used="true";
defparam hilo_24_add4_cZ.operation_mode="arithmetic";
defparam hilo_24_add4_cZ.output_mode="comb_only";
defparam hilo_24_add4_cZ.lut_mask="96e8";
defparam hilo_24_add4_cZ.synch_mode="off";
defparam hilo_24_add4_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add3_cZ (
        .combout(hilo_24_add3),
        .cout(hilo_24_carry_3),
        .dataa(hilo_34),
        .datab(un1_op2_reged_1_combout[3]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add3_cZ.cin_used="true";
defparam hilo_24_add3_cZ.operation_mode="arithmetic";
defparam hilo_24_add3_cZ.output_mode="comb_only";
defparam hilo_24_add3_cZ.lut_mask="96e8";
defparam hilo_24_add3_cZ.synch_mode="off";
defparam hilo_24_add3_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add2_cZ (
        .combout(hilo_24_add2),
        .cout(hilo_24_carry_2),
        .dataa(hilo_33),
        .datab(un1_op2_reged_1_combout[2]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_1),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add2_cZ.cin_used="true";
defparam hilo_24_add2_cZ.operation_mode="arithmetic";
defparam hilo_24_add2_cZ.output_mode="comb_only";
defparam hilo_24_add2_cZ.lut_mask="96e8";
defparam hilo_24_add2_cZ.synch_mode="off";
defparam hilo_24_add2_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add1_cZ (
        .combout(hilo_24_add1),
        .cout(hilo_24_carry_1),
        .dataa(hilo_32),
        .datab(un1_op2_reged_1_combout[1]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(hilo_24_carry_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add1_cZ.cin_used="true";
defparam hilo_24_add1_cZ.operation_mode="arithmetic";
defparam hilo_24_add1_cZ.output_mode="comb_only";
defparam hilo_24_add1_cZ.lut_mask="96e8";
defparam hilo_24_add1_cZ.synch_mode="off";
defparam hilo_24_add1_cZ.sum_lutc_input="cin";
// @5:662
  cyclone_lcell hilo_24_add0_cZ (
        .combout(hilo_24_add0),
        .cout(hilo_24_carry_0),
        .dataa(hilo_31),
        .datab(op2_reged[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam hilo_24_add0_cZ.operation_mode="arithmetic";
defparam hilo_24_add0_cZ.output_mode="comb_only";
defparam hilo_24_add0_cZ.lut_mask="6688";
defparam hilo_24_add0_cZ.synch_mode="off";
defparam hilo_24_add0_cZ.sum_lutc_input="datac";
// @5:627
  cyclone_lcell over_add31 (
        .combout(N_1),
        .cout(over_add31_cout),
        .dataa(b_o_iv_31),
        .datab(a_o_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_30),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add31.cin_used="true";
defparam over_add31.operation_mode="arithmetic";
defparam over_add31.output_mode="comb_only";
defparam over_add31.lut_mask="69d4";
defparam over_add31.synch_mode="off";
defparam over_add31.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add30 (
        .combout(N_2),
        .cout(over_carry_30),
        .dataa(b_o_iv_30),
        .datab(a_o_30),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_29),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add30.cin_used="true";
defparam over_add30.operation_mode="arithmetic";
defparam over_add30.output_mode="comb_only";
defparam over_add30.lut_mask="69d4";
defparam over_add30.synch_mode="off";
defparam over_add30.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add29 (
        .combout(N_3),
        .cout(over_carry_29),
        .dataa(b_o_iv_29),
        .datab(a_o_29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_28),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add29.cin_used="true";
defparam over_add29.operation_mode="arithmetic";
defparam over_add29.output_mode="comb_only";
defparam over_add29.lut_mask="69d4";
defparam over_add29.synch_mode="off";
defparam over_add29.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add28 (
        .combout(N_4),
        .cout(over_carry_28),
        .dataa(b_o_iv_0_16),
        .datab(a_o_28),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_27),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add28.cin_used="true";
defparam over_add28.operation_mode="arithmetic";
defparam over_add28.output_mode="comb_only";
defparam over_add28.lut_mask="69d4";
defparam over_add28.synch_mode="off";
defparam over_add28.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add27 (
        .combout(N_5),
        .cout(over_carry_27),
        .dataa(b_o_iv_0_15),
        .datab(a_o_27),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_26),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add27.cin_used="true";
defparam over_add27.operation_mode="arithmetic";
defparam over_add27.output_mode="comb_only";
defparam over_add27.lut_mask="69d4";
defparam over_add27.synch_mode="off";
defparam over_add27.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add26 (
        .combout(N_6),
        .cout(over_carry_26),
        .dataa(b_o_iv_26),
        .datab(a_o_26),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_25),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add26.cin_used="true";
defparam over_add26.operation_mode="arithmetic";
defparam over_add26.output_mode="comb_only";
defparam over_add26.lut_mask="69d4";
defparam over_add26.synch_mode="off";
defparam over_add26.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add25 (
        .combout(N_7),
        .cout(over_carry_25),
        .dataa(b_o_iv_25),
        .datab(a_o_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_24),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add25.cin_used="true";
defparam over_add25.operation_mode="arithmetic";
defparam over_add25.output_mode="comb_only";
defparam over_add25.lut_mask="69d4";
defparam over_add25.synch_mode="off";
defparam over_add25.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add24 (
        .combout(N_8),
        .cout(over_carry_24),
        .dataa(b_o_iv_24),
        .datab(a_o_24),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_23),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add24.cin_used="true";
defparam over_add24.operation_mode="arithmetic";
defparam over_add24.output_mode="comb_only";
defparam over_add24.lut_mask="69d4";
defparam over_add24.synch_mode="off";
defparam over_add24.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add23 (
        .combout(N_9),
        .cout(over_carry_23),
        .dataa(b_o_iv_23),
        .datab(a_o_i_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_22),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add23.cin_used="true";
defparam over_add23.operation_mode="arithmetic";
defparam over_add23.output_mode="comb_only";
defparam over_add23.lut_mask="9671";
defparam over_add23.synch_mode="off";
defparam over_add23.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add22 (
        .combout(N_10),
        .cout(over_carry_22),
        .dataa(b_o_iv_22),
        .datab(a_o_22),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_21),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add22.cin_used="true";
defparam over_add22.operation_mode="arithmetic";
defparam over_add22.output_mode="comb_only";
defparam over_add22.lut_mask="69d4";
defparam over_add22.synch_mode="off";
defparam over_add22.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add21 (
        .combout(N_11),
        .cout(over_carry_21),
        .dataa(b_o_iv_21),
        .datab(a_o_21),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_20),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add21.cin_used="true";
defparam over_add21.operation_mode="arithmetic";
defparam over_add21.output_mode="comb_only";
defparam over_add21.lut_mask="69d4";
defparam over_add21.synch_mode="off";
defparam over_add21.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add20 (
        .combout(N_12),
        .cout(over_carry_20),
        .dataa(b_o_iv_20),
        .datab(a_o_20),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_19),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add20.cin_used="true";
defparam over_add20.operation_mode="arithmetic";
defparam over_add20.output_mode="comb_only";
defparam over_add20.lut_mask="69d4";
defparam over_add20.synch_mode="off";
defparam over_add20.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add19 (
        .combout(N_13),
        .cout(over_carry_19),
        .dataa(b_o_iv_19),
        .datab(a_o_i_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_18),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add19.cin_used="true";
defparam over_add19.operation_mode="arithmetic";
defparam over_add19.output_mode="comb_only";
defparam over_add19.lut_mask="9671";
defparam over_add19.synch_mode="off";
defparam over_add19.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add18 (
        .combout(N_14),
        .cout(over_carry_18),
        .dataa(b_o_iv_18),
        .datab(a_o_18),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_17),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add18.cin_used="true";
defparam over_add18.operation_mode="arithmetic";
defparam over_add18.output_mode="comb_only";
defparam over_add18.lut_mask="69d4";
defparam over_add18.synch_mode="off";
defparam over_add18.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add17 (
        .combout(N_15),
        .cout(over_carry_17),
        .dataa(b_o_iv_17),
        .datab(a_o_17),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_16),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add17.cin_used="true";
defparam over_add17.operation_mode="arithmetic";
defparam over_add17.output_mode="comb_only";
defparam over_add17.lut_mask="69d4";
defparam over_add17.synch_mode="off";
defparam over_add17.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add16 (
        .combout(N_16),
        .cout(over_carry_16),
        .dataa(b_o_iv_16),
        .datab(a_o_i_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_15),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add16.cin_used="true";
defparam over_add16.operation_mode="arithmetic";
defparam over_add16.output_mode="comb_only";
defparam over_add16.lut_mask="9671";
defparam over_add16.synch_mode="off";
defparam over_add16.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add15 (
        .combout(N_17),
        .cout(over_carry_15),
        .dataa(b_o_iv_15),
        .datab(a_o_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_14),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add15.cin_used="true";
defparam over_add15.operation_mode="arithmetic";
defparam over_add15.output_mode="comb_only";
defparam over_add15.lut_mask="69d4";
defparam over_add15.synch_mode="off";
defparam over_add15.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add14 (
        .combout(N_18),
        .cout(over_carry_14),
        .dataa(b_o_iv_14),
        .datab(a_o_14),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_13),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add14.cin_used="true";
defparam over_add14.operation_mode="arithmetic";
defparam over_add14.output_mode="comb_only";
defparam over_add14.lut_mask="69d4";
defparam over_add14.synch_mode="off";
defparam over_add14.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add13 (
        .combout(N_19),
        .cout(over_carry_13),
        .dataa(b_o_iv_13),
        .datab(a_o_13),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_12),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add13.cin_used="true";
defparam over_add13.operation_mode="arithmetic";
defparam over_add13.output_mode="comb_only";
defparam over_add13.lut_mask="69d4";
defparam over_add13.synch_mode="off";
defparam over_add13.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add12 (
        .combout(N_20),
        .cout(over_carry_12),
        .dataa(b_o_iv_0_0),
        .datab(a_o_12),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_11),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add12.cin_used="true";
defparam over_add12.operation_mode="arithmetic";
defparam over_add12.output_mode="comb_only";
defparam over_add12.lut_mask="69d4";
defparam over_add12.synch_mode="off";
defparam over_add12.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add11 (
        .combout(N_21),
        .cout(over_carry_11),
        .dataa(b_o_iv_11),
        .datab(a_o_11),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_10),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add11.cin_used="true";
defparam over_add11.operation_mode="arithmetic";
defparam over_add11.output_mode="comb_only";
defparam over_add11.lut_mask="69d4";
defparam over_add11.synch_mode="off";
defparam over_add11.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add10 (
        .combout(N_22),
        .cout(over_carry_10),
        .dataa(b_o_iv_10),
        .datab(a_o_10),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_9),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add10.cin_used="true";
defparam over_add10.operation_mode="arithmetic";
defparam over_add10.output_mode="comb_only";
defparam over_add10.lut_mask="69d4";
defparam over_add10.synch_mode="off";
defparam over_add10.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add9 (
        .combout(N_23),
        .cout(over_carry_9),
        .dataa(b_o_iv_9),
        .datab(a_o_9),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_8),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add9.cin_used="true";
defparam over_add9.operation_mode="arithmetic";
defparam over_add9.output_mode="comb_only";
defparam over_add9.lut_mask="69d4";
defparam over_add9.synch_mode="off";
defparam over_add9.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add8 (
        .combout(N_24),
        .cout(over_carry_8),
        .dataa(b_o_iv_8),
        .datab(a_o_8),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_7),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add8.cin_used="true";
defparam over_add8.operation_mode="arithmetic";
defparam over_add8.output_mode="comb_only";
defparam over_add8.lut_mask="69d4";
defparam over_add8.synch_mode="off";
defparam over_add8.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add7 (
        .combout(N_25),
        .cout(over_carry_7),
        .dataa(b_o_iv_7),
        .datab(a_o_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_6),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add7.cin_used="true";
defparam over_add7.operation_mode="arithmetic";
defparam over_add7.output_mode="comb_only";
defparam over_add7.lut_mask="69d4";
defparam over_add7.synch_mode="off";
defparam over_add7.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add6 (
        .combout(N_26),
        .cout(over_carry_6),
        .dataa(b_o_iv_6),
        .datab(a_o_6),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_5),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add6.cin_used="true";
defparam over_add6.operation_mode="arithmetic";
defparam over_add6.output_mode="comb_only";
defparam over_add6.lut_mask="69d4";
defparam over_add6.synch_mode="off";
defparam over_add6.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add5 (
        .combout(N_27),
        .cout(over_carry_5),
        .dataa(b_o_iv_5),
        .datab(a_o_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_4),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add5.cin_used="true";
defparam over_add5.operation_mode="arithmetic";
defparam over_add5.output_mode="comb_only";
defparam over_add5.lut_mask="69d4";
defparam over_add5.synch_mode="off";
defparam over_add5.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add4 (
        .combout(N_28),
        .cout(over_carry_4),
        .dataa(b_o_iv_4),
        .datab(a_o_4),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_3),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add4.cin_used="true";
defparam over_add4.operation_mode="arithmetic";
defparam over_add4.output_mode="comb_only";
defparam over_add4.lut_mask="69d4";
defparam over_add4.synch_mode="off";
defparam over_add4.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add3 (
        .combout(N_29),
        .cout(over_carry_3),
        .dataa(b_o_iv_3),
        .datab(a_o_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add3.cin_used="true";
defparam over_add3.operation_mode="arithmetic";
defparam over_add3.output_mode="comb_only";
defparam over_add3.lut_mask="69d4";
defparam over_add3.synch_mode="off";
defparam over_add3.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add2 (
        .combout(N_30),
        .cout(over_carry_2),
        .dataa(b_o_iv_2),
        .datab(a_o_2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_1),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add2.cin_used="true";
defparam over_add2.operation_mode="arithmetic";
defparam over_add2.output_mode="comb_only";
defparam over_add2.lut_mask="69d4";
defparam over_add2.synch_mode="off";
defparam over_add2.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add1 (
        .combout(N_31),
        .cout(over_carry_1),
        .dataa(b_o_iv_1),
        .datab(a_o_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(over_carry_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add1.cin_used="true";
defparam over_add1.operation_mode="arithmetic";
defparam over_add1.output_mode="comb_only";
defparam over_add1.lut_mask="69d4";
defparam over_add1.synch_mode="off";
defparam over_add1.sum_lutc_input="cin";
// @5:627
  cyclone_lcell over_add0 (
        .combout(N_32),
        .cout(over_carry_0),
        .dataa(b_o_iv_0_d0),
        .datab(a_o_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam over_add0.operation_mode="arithmetic";
defparam over_add0.output_mode="comb_only";
defparam over_add0.lut_mask="9944";
defparam over_add0.synch_mode="off";
defparam over_add0.sum_lutc_input="datac";
// @5:672
  cyclone_lcell un134_hilo_31_ (
        .combout(un134_hilo_combout[31]),
        .dataa(hilo_30),
        .datab(hilo_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[29]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_31_.cin_used="true";
defparam un134_hilo_31_.operation_mode="normal";
defparam un134_hilo_31_.output_mode="comb_only";
defparam un134_hilo_31_.lut_mask="6c6c";
defparam un134_hilo_31_.synch_mode="off";
defparam un134_hilo_31_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_30_ (
        .combout(un134_hilo_combout[30]),
        .dataa(hilo_30),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[28]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_30_.cin_used="true";
defparam un134_hilo_30_.operation_mode="normal";
defparam un134_hilo_30_.output_mode="comb_only";
defparam un134_hilo_30_.lut_mask="5a5a";
defparam un134_hilo_30_.synch_mode="off";
defparam un134_hilo_30_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_29_ (
        .combout(un134_hilo_combout[29]),
        .cout(un134_hilo_cout[29]),
        .dataa(hilo_28),
        .datab(hilo_29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[27]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_29_.cin_used="true";
defparam un134_hilo_29_.operation_mode="arithmetic";
defparam un134_hilo_29_.output_mode="comb_only";
defparam un134_hilo_29_.lut_mask="6c80";
defparam un134_hilo_29_.synch_mode="off";
defparam un134_hilo_29_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_28_ (
        .combout(un134_hilo_combout[28]),
        .cout(un134_hilo_cout[28]),
        .dataa(hilo_28),
        .datab(hilo_29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[26]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_28_.cin_used="true";
defparam un134_hilo_28_.operation_mode="arithmetic";
defparam un134_hilo_28_.output_mode="comb_only";
defparam un134_hilo_28_.lut_mask="5a80";
defparam un134_hilo_28_.synch_mode="off";
defparam un134_hilo_28_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_27_ (
        .combout(un134_hilo_combout[27]),
        .cout(un134_hilo_cout[27]),
        .dataa(hilo_26),
        .datab(hilo_27),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[25]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_27_.cin_used="true";
defparam un134_hilo_27_.operation_mode="arithmetic";
defparam un134_hilo_27_.output_mode="comb_only";
defparam un134_hilo_27_.lut_mask="6c80";
defparam un134_hilo_27_.synch_mode="off";
defparam un134_hilo_27_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_26_ (
        .combout(un134_hilo_combout[26]),
        .cout(un134_hilo_cout[26]),
        .dataa(hilo_26),
        .datab(hilo_27),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[24]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_26_.cin_used="true";
defparam un134_hilo_26_.operation_mode="arithmetic";
defparam un134_hilo_26_.output_mode="comb_only";
defparam un134_hilo_26_.lut_mask="5a80";
defparam un134_hilo_26_.synch_mode="off";
defparam un134_hilo_26_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_25_ (
        .combout(un134_hilo_combout[25]),
        .cout(un134_hilo_cout[25]),
        .dataa(hilo_24),
        .datab(hilo_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[23]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_25_.cin_used="true";
defparam un134_hilo_25_.operation_mode="arithmetic";
defparam un134_hilo_25_.output_mode="comb_only";
defparam un134_hilo_25_.lut_mask="6c80";
defparam un134_hilo_25_.synch_mode="off";
defparam un134_hilo_25_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_24_ (
        .combout(un134_hilo_combout[24]),
        .cout(un134_hilo_cout[24]),
        .dataa(hilo_24),
        .datab(hilo_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[22]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_24_.cin_used="true";
defparam un134_hilo_24_.operation_mode="arithmetic";
defparam un134_hilo_24_.output_mode="comb_only";
defparam un134_hilo_24_.lut_mask="5a80";
defparam un134_hilo_24_.synch_mode="off";
defparam un134_hilo_24_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_23_ (
        .combout(un134_hilo_combout[23]),
        .cout(un134_hilo_cout[23]),
        .dataa(hilo_22),
        .datab(hilo_23),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[21]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_23_.cin_used="true";
defparam un134_hilo_23_.operation_mode="arithmetic";
defparam un134_hilo_23_.output_mode="comb_only";
defparam un134_hilo_23_.lut_mask="6c80";
defparam un134_hilo_23_.synch_mode="off";
defparam un134_hilo_23_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_22_ (
        .combout(un134_hilo_combout[22]),
        .cout(un134_hilo_cout[22]),
        .dataa(hilo_22),
        .datab(hilo_23),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[20]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_22_.cin_used="true";
defparam un134_hilo_22_.operation_mode="arithmetic";
defparam un134_hilo_22_.output_mode="comb_only";
defparam un134_hilo_22_.lut_mask="5a80";
defparam un134_hilo_22_.synch_mode="off";
defparam un134_hilo_22_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_21_ (
        .combout(un134_hilo_combout[21]),
        .cout(un134_hilo_cout[21]),
        .dataa(hilo_20),
        .datab(hilo_21),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[19]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_21_.cin_used="true";
defparam un134_hilo_21_.operation_mode="arithmetic";
defparam un134_hilo_21_.output_mode="comb_only";
defparam un134_hilo_21_.lut_mask="6c80";
defparam un134_hilo_21_.synch_mode="off";
defparam un134_hilo_21_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_20_ (
        .combout(un134_hilo_combout[20]),
        .cout(un134_hilo_cout[20]),
        .dataa(hilo_20),
        .datab(hilo_21),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[18]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_20_.cin_used="true";
defparam un134_hilo_20_.operation_mode="arithmetic";
defparam un134_hilo_20_.output_mode="comb_only";
defparam un134_hilo_20_.lut_mask="5a80";
defparam un134_hilo_20_.synch_mode="off";
defparam un134_hilo_20_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_19_ (
        .combout(un134_hilo_combout[19]),
        .cout(un134_hilo_cout[19]),
        .dataa(hilo_18),
        .datab(hilo_19),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[17]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_19_.cin_used="true";
defparam un134_hilo_19_.operation_mode="arithmetic";
defparam un134_hilo_19_.output_mode="comb_only";
defparam un134_hilo_19_.lut_mask="6c80";
defparam un134_hilo_19_.synch_mode="off";
defparam un134_hilo_19_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_18_ (
        .combout(un134_hilo_combout[18]),
        .cout(un134_hilo_cout[18]),
        .dataa(hilo_18),
        .datab(hilo_19),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[16]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_18_.cin_used="true";
defparam un134_hilo_18_.operation_mode="arithmetic";
defparam un134_hilo_18_.output_mode="comb_only";
defparam un134_hilo_18_.lut_mask="5a80";
defparam un134_hilo_18_.synch_mode="off";
defparam un134_hilo_18_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_17_ (
        .combout(un134_hilo_combout[17]),
        .cout(un134_hilo_cout[17]),
        .dataa(hilo_16),
        .datab(hilo_17),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[15]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_17_.cin_used="true";
defparam un134_hilo_17_.operation_mode="arithmetic";
defparam un134_hilo_17_.output_mode="comb_only";
defparam un134_hilo_17_.lut_mask="6c80";
defparam un134_hilo_17_.synch_mode="off";
defparam un134_hilo_17_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_16_ (
        .combout(un134_hilo_combout[16]),
        .cout(un134_hilo_cout[16]),
        .dataa(hilo_16),
        .datab(hilo_17),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[14]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_16_.cin_used="true";
defparam un134_hilo_16_.operation_mode="arithmetic";
defparam un134_hilo_16_.output_mode="comb_only";
defparam un134_hilo_16_.lut_mask="5a80";
defparam un134_hilo_16_.synch_mode="off";
defparam un134_hilo_16_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_15_ (
        .combout(un134_hilo_combout[15]),
        .cout(un134_hilo_cout[15]),
        .dataa(hilo_14),
        .datab(hilo_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[13]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_15_.cin_used="true";
defparam un134_hilo_15_.operation_mode="arithmetic";
defparam un134_hilo_15_.output_mode="comb_only";
defparam un134_hilo_15_.lut_mask="6c80";
defparam un134_hilo_15_.synch_mode="off";
defparam un134_hilo_15_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_14_ (
        .combout(un134_hilo_combout[14]),
        .cout(un134_hilo_cout[14]),
        .dataa(hilo_14),
        .datab(hilo_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[12]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_14_.cin_used="true";
defparam un134_hilo_14_.operation_mode="arithmetic";
defparam un134_hilo_14_.output_mode="comb_only";
defparam un134_hilo_14_.lut_mask="5a80";
defparam un134_hilo_14_.synch_mode="off";
defparam un134_hilo_14_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_13_ (
        .combout(un134_hilo_combout[13]),
        .cout(un134_hilo_cout[13]),
        .dataa(hilo_12),
        .datab(hilo_13),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[11]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_13_.cin_used="true";
defparam un134_hilo_13_.operation_mode="arithmetic";
defparam un134_hilo_13_.output_mode="comb_only";
defparam un134_hilo_13_.lut_mask="6c80";
defparam un134_hilo_13_.synch_mode="off";
defparam un134_hilo_13_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_12_ (
        .combout(un134_hilo_combout[12]),
        .cout(un134_hilo_cout[12]),
        .dataa(hilo_12),
        .datab(hilo_13),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[10]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_12_.cin_used="true";
defparam un134_hilo_12_.operation_mode="arithmetic";
defparam un134_hilo_12_.output_mode="comb_only";
defparam un134_hilo_12_.lut_mask="5a80";
defparam un134_hilo_12_.synch_mode="off";
defparam un134_hilo_12_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_11_ (
        .combout(un134_hilo_combout[11]),
        .cout(un134_hilo_cout[11]),
        .dataa(hilo_10),
        .datab(hilo_11),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[9]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_11_.cin_used="true";
defparam un134_hilo_11_.operation_mode="arithmetic";
defparam un134_hilo_11_.output_mode="comb_only";
defparam un134_hilo_11_.lut_mask="6c80";
defparam un134_hilo_11_.synch_mode="off";
defparam un134_hilo_11_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_10_ (
        .combout(un134_hilo_combout[10]),
        .cout(un134_hilo_cout[10]),
        .dataa(hilo_10),
        .datab(hilo_11),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[8]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_10_.cin_used="true";
defparam un134_hilo_10_.operation_mode="arithmetic";
defparam un134_hilo_10_.output_mode="comb_only";
defparam un134_hilo_10_.lut_mask="5a80";
defparam un134_hilo_10_.synch_mode="off";
defparam un134_hilo_10_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_9_ (
        .combout(un134_hilo_combout[9]),
        .cout(un134_hilo_cout[9]),
        .dataa(hilo_8),
        .datab(hilo_9),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[7]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_9_.cin_used="true";
defparam un134_hilo_9_.operation_mode="arithmetic";
defparam un134_hilo_9_.output_mode="comb_only";
defparam un134_hilo_9_.lut_mask="6c80";
defparam un134_hilo_9_.synch_mode="off";
defparam un134_hilo_9_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_8_ (
        .combout(un134_hilo_combout[8]),
        .cout(un134_hilo_cout[8]),
        .dataa(hilo_8),
        .datab(hilo_9),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[6]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_8_.cin_used="true";
defparam un134_hilo_8_.operation_mode="arithmetic";
defparam un134_hilo_8_.output_mode="comb_only";
defparam un134_hilo_8_.lut_mask="5a80";
defparam un134_hilo_8_.synch_mode="off";
defparam un134_hilo_8_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_7_ (
        .combout(un134_hilo_combout[7]),
        .cout(un134_hilo_cout[7]),
        .dataa(hilo_6),
        .datab(hilo_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[5]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_7_.cin_used="true";
defparam un134_hilo_7_.operation_mode="arithmetic";
defparam un134_hilo_7_.output_mode="comb_only";
defparam un134_hilo_7_.lut_mask="6c80";
defparam un134_hilo_7_.synch_mode="off";
defparam un134_hilo_7_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_6_ (
        .combout(un134_hilo_combout[6]),
        .cout(un134_hilo_cout[6]),
        .dataa(hilo_6),
        .datab(hilo_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[4]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_6_.cin_used="true";
defparam un134_hilo_6_.operation_mode="arithmetic";
defparam un134_hilo_6_.output_mode="comb_only";
defparam un134_hilo_6_.lut_mask="5a80";
defparam un134_hilo_6_.synch_mode="off";
defparam un134_hilo_6_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_5_ (
        .combout(un134_hilo_combout[5]),
        .cout(un134_hilo_cout[5]),
        .dataa(hilo_4),
        .datab(hilo_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[3]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_5_.cin_used="true";
defparam un134_hilo_5_.operation_mode="arithmetic";
defparam un134_hilo_5_.output_mode="comb_only";
defparam un134_hilo_5_.lut_mask="6c80";
defparam un134_hilo_5_.synch_mode="off";
defparam un134_hilo_5_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_4_ (
        .combout(un134_hilo_combout[4]),
        .cout(un134_hilo_cout[4]),
        .dataa(hilo_4),
        .datab(hilo_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[2]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_4_.cin_used="true";
defparam un134_hilo_4_.operation_mode="arithmetic";
defparam un134_hilo_4_.output_mode="comb_only";
defparam un134_hilo_4_.lut_mask="5a80";
defparam un134_hilo_4_.synch_mode="off";
defparam un134_hilo_4_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_3_ (
        .combout(un134_hilo_combout[3]),
        .cout(un134_hilo_cout[3]),
        .dataa(hilo_2),
        .datab(hilo_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[1]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_3_.cin_used="true";
defparam un134_hilo_3_.operation_mode="arithmetic";
defparam un134_hilo_3_.output_mode="comb_only";
defparam un134_hilo_3_.lut_mask="6c80";
defparam un134_hilo_3_.synch_mode="off";
defparam un134_hilo_3_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_2_ (
        .combout(un134_hilo_combout[2]),
        .cout(un134_hilo_cout[2]),
        .dataa(hilo_2),
        .datab(hilo_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un134_hilo_cout[0]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_2_.cin_used="true";
defparam un134_hilo_2_.operation_mode="arithmetic";
defparam un134_hilo_2_.output_mode="comb_only";
defparam un134_hilo_2_.lut_mask="5a80";
defparam un134_hilo_2_.synch_mode="off";
defparam un134_hilo_2_.sum_lutc_input="cin";
// @5:672
  cyclone_lcell un134_hilo_1_ (
        .combout(un134_hilo_combout[1]),
        .cout(un134_hilo_cout[1]),
        .dataa(hilo_0),
        .datab(hilo_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_1_.operation_mode="arithmetic";
defparam un134_hilo_1_.output_mode="comb_only";
defparam un134_hilo_1_.lut_mask="6688";
defparam un134_hilo_1_.synch_mode="off";
defparam un134_hilo_1_.sum_lutc_input="datac";
// @5:672
  cyclone_lcell un134_hilo_0_ (
        .combout(N_33),
        .cout(un134_hilo_cout[0]),
        .dataa(hilo_0),
        .datab(hilo_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un134_hilo_0_.operation_mode="arithmetic";
defparam un134_hilo_0_.output_mode="comb_only";
defparam un134_hilo_0_.lut_mask="5588";
defparam un134_hilo_0_.synch_mode="off";
defparam un134_hilo_0_.sum_lutc_input="datac";
// @5:566
  cyclone_lcell nop2_reged_32_ (
        .combout(nop2_reged[32]),
        .dataa(op2_sign_reged),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[30]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_32_.cin_used="true";
defparam nop2_reged_32_.operation_mode="normal";
defparam nop2_reged_32_.output_mode="comb_only";
defparam nop2_reged_32_.lut_mask="a5a5";
defparam nop2_reged_32_.synch_mode="off";
defparam nop2_reged_32_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_31_ (
        .combout(nop2_reged[31]),
        .dataa(op2_reged[31]),
        .datab(op2_reged[30]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[29]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_31_.cin_used="true";
defparam nop2_reged_31_.operation_mode="normal";
defparam nop2_reged_31_.output_mode="comb_only";
defparam nop2_reged_31_.lut_mask="6565";
defparam nop2_reged_31_.synch_mode="off";
defparam nop2_reged_31_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_30_ (
        .combout(nop2_reged[30]),
        .cout(nop2_reged_cout[30]),
        .dataa(op2_reged[31]),
        .datab(op2_reged[30]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[28]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_30_.cin_used="true";
defparam nop2_reged_30_.operation_mode="arithmetic";
defparam nop2_reged_30_.output_mode="comb_only";
defparam nop2_reged_30_.lut_mask="c310";
defparam nop2_reged_30_.synch_mode="off";
defparam nop2_reged_30_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_29_ (
        .combout(nop2_reged[29]),
        .cout(nop2_reged_cout[29]),
        .dataa(op2_reged[29]),
        .datab(op2_reged[28]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[27]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_29_.cin_used="true";
defparam nop2_reged_29_.operation_mode="arithmetic";
defparam nop2_reged_29_.output_mode="comb_only";
defparam nop2_reged_29_.lut_mask="6510";
defparam nop2_reged_29_.synch_mode="off";
defparam nop2_reged_29_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_28_ (
        .combout(nop2_reged[28]),
        .cout(nop2_reged_cout[28]),
        .dataa(op2_reged[29]),
        .datab(op2_reged[28]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[26]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_28_.cin_used="true";
defparam nop2_reged_28_.operation_mode="arithmetic";
defparam nop2_reged_28_.output_mode="comb_only";
defparam nop2_reged_28_.lut_mask="c310";
defparam nop2_reged_28_.synch_mode="off";
defparam nop2_reged_28_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_27_ (
        .combout(nop2_reged[27]),
        .cout(nop2_reged_cout[27]),
        .dataa(op2_reged[27]),
        .datab(op2_reged[26]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[25]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_27_.cin_used="true";
defparam nop2_reged_27_.operation_mode="arithmetic";
defparam nop2_reged_27_.output_mode="comb_only";
defparam nop2_reged_27_.lut_mask="6510";
defparam nop2_reged_27_.synch_mode="off";
defparam nop2_reged_27_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_26_ (
        .combout(nop2_reged[26]),
        .cout(nop2_reged_cout[26]),
        .dataa(op2_reged[27]),
        .datab(op2_reged[26]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[24]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_26_.cin_used="true";
defparam nop2_reged_26_.operation_mode="arithmetic";
defparam nop2_reged_26_.output_mode="comb_only";
defparam nop2_reged_26_.lut_mask="c310";
defparam nop2_reged_26_.synch_mode="off";
defparam nop2_reged_26_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_25_ (
        .combout(nop2_reged[25]),
        .cout(nop2_reged_cout[25]),
        .dataa(op2_reged[25]),
        .datab(op2_reged[24]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[23]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_25_.cin_used="true";
defparam nop2_reged_25_.operation_mode="arithmetic";
defparam nop2_reged_25_.output_mode="comb_only";
defparam nop2_reged_25_.lut_mask="6510";
defparam nop2_reged_25_.synch_mode="off";
defparam nop2_reged_25_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_24_ (
        .combout(nop2_reged[24]),
        .cout(nop2_reged_cout[24]),
        .dataa(op2_reged[25]),
        .datab(op2_reged[24]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[22]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_24_.cin_used="true";
defparam nop2_reged_24_.operation_mode="arithmetic";
defparam nop2_reged_24_.output_mode="comb_only";
defparam nop2_reged_24_.lut_mask="c310";
defparam nop2_reged_24_.synch_mode="off";
defparam nop2_reged_24_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_23_ (
        .combout(nop2_reged[23]),
        .cout(nop2_reged_cout[23]),
        .dataa(op2_reged[23]),
        .datab(op2_reged[22]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[21]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_23_.cin_used="true";
defparam nop2_reged_23_.operation_mode="arithmetic";
defparam nop2_reged_23_.output_mode="comb_only";
defparam nop2_reged_23_.lut_mask="6510";
defparam nop2_reged_23_.synch_mode="off";
defparam nop2_reged_23_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_22_ (
        .combout(nop2_reged[22]),
        .cout(nop2_reged_cout[22]),
        .dataa(op2_reged[23]),
        .datab(op2_reged[22]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[20]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_22_.cin_used="true";
defparam nop2_reged_22_.operation_mode="arithmetic";
defparam nop2_reged_22_.output_mode="comb_only";
defparam nop2_reged_22_.lut_mask="c310";
defparam nop2_reged_22_.synch_mode="off";
defparam nop2_reged_22_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_21_ (
        .combout(nop2_reged[21]),
        .cout(nop2_reged_cout[21]),
        .dataa(op2_reged[21]),
        .datab(op2_reged[20]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[19]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_21_.cin_used="true";
defparam nop2_reged_21_.operation_mode="arithmetic";
defparam nop2_reged_21_.output_mode="comb_only";
defparam nop2_reged_21_.lut_mask="6510";
defparam nop2_reged_21_.synch_mode="off";
defparam nop2_reged_21_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_20_ (
        .combout(nop2_reged[20]),
        .cout(nop2_reged_cout[20]),
        .dataa(op2_reged[21]),
        .datab(op2_reged[20]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[18]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_20_.cin_used="true";
defparam nop2_reged_20_.operation_mode="arithmetic";
defparam nop2_reged_20_.output_mode="comb_only";
defparam nop2_reged_20_.lut_mask="c310";
defparam nop2_reged_20_.synch_mode="off";
defparam nop2_reged_20_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_19_ (
        .combout(nop2_reged[19]),
        .cout(nop2_reged_cout[19]),
        .dataa(op2_reged[19]),
        .datab(op2_reged[18]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[17]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_19_.cin_used="true";
defparam nop2_reged_19_.operation_mode="arithmetic";
defparam nop2_reged_19_.output_mode="comb_only";
defparam nop2_reged_19_.lut_mask="6510";
defparam nop2_reged_19_.synch_mode="off";
defparam nop2_reged_19_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_18_ (
        .combout(nop2_reged[18]),
        .cout(nop2_reged_cout[18]),
        .dataa(op2_reged[19]),
        .datab(op2_reged[18]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[16]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_18_.cin_used="true";
defparam nop2_reged_18_.operation_mode="arithmetic";
defparam nop2_reged_18_.output_mode="comb_only";
defparam nop2_reged_18_.lut_mask="c310";
defparam nop2_reged_18_.synch_mode="off";
defparam nop2_reged_18_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_17_ (
        .combout(nop2_reged[17]),
        .cout(nop2_reged_cout[17]),
        .dataa(op2_reged[17]),
        .datab(op2_reged[16]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[15]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_17_.cin_used="true";
defparam nop2_reged_17_.operation_mode="arithmetic";
defparam nop2_reged_17_.output_mode="comb_only";
defparam nop2_reged_17_.lut_mask="6510";
defparam nop2_reged_17_.synch_mode="off";
defparam nop2_reged_17_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_16_ (
        .combout(nop2_reged[16]),
        .cout(nop2_reged_cout[16]),
        .dataa(op2_reged[17]),
        .datab(op2_reged[16]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[14]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_16_.cin_used="true";
defparam nop2_reged_16_.operation_mode="arithmetic";
defparam nop2_reged_16_.output_mode="comb_only";
defparam nop2_reged_16_.lut_mask="c310";
defparam nop2_reged_16_.synch_mode="off";
defparam nop2_reged_16_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_15_ (
        .combout(nop2_reged[15]),
        .cout(nop2_reged_cout[15]),
        .dataa(op2_reged[15]),
        .datab(op2_reged[14]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[13]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_15_.cin_used="true";
defparam nop2_reged_15_.operation_mode="arithmetic";
defparam nop2_reged_15_.output_mode="comb_only";
defparam nop2_reged_15_.lut_mask="6510";
defparam nop2_reged_15_.synch_mode="off";
defparam nop2_reged_15_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_14_ (
        .combout(nop2_reged[14]),
        .cout(nop2_reged_cout[14]),
        .dataa(op2_reged[15]),
        .datab(op2_reged[14]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[12]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_14_.cin_used="true";
defparam nop2_reged_14_.operation_mode="arithmetic";
defparam nop2_reged_14_.output_mode="comb_only";
defparam nop2_reged_14_.lut_mask="c310";
defparam nop2_reged_14_.synch_mode="off";
defparam nop2_reged_14_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_13_ (
        .combout(nop2_reged[13]),
        .cout(nop2_reged_cout[13]),
        .dataa(op2_reged[13]),
        .datab(op2_reged[12]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[11]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_13_.cin_used="true";
defparam nop2_reged_13_.operation_mode="arithmetic";
defparam nop2_reged_13_.output_mode="comb_only";
defparam nop2_reged_13_.lut_mask="6510";
defparam nop2_reged_13_.synch_mode="off";
defparam nop2_reged_13_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_12_ (
        .combout(nop2_reged[12]),
        .cout(nop2_reged_cout[12]),
        .dataa(op2_reged[13]),
        .datab(op2_reged[12]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[10]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_12_.cin_used="true";
defparam nop2_reged_12_.operation_mode="arithmetic";
defparam nop2_reged_12_.output_mode="comb_only";
defparam nop2_reged_12_.lut_mask="c310";
defparam nop2_reged_12_.synch_mode="off";
defparam nop2_reged_12_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_11_ (
        .combout(nop2_reged[11]),
        .cout(nop2_reged_cout[11]),
        .dataa(op2_reged[11]),
        .datab(op2_reged[10]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[9]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_11_.cin_used="true";
defparam nop2_reged_11_.operation_mode="arithmetic";
defparam nop2_reged_11_.output_mode="comb_only";
defparam nop2_reged_11_.lut_mask="6510";
defparam nop2_reged_11_.synch_mode="off";
defparam nop2_reged_11_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_10_ (
        .combout(nop2_reged[10]),
        .cout(nop2_reged_cout[10]),
        .dataa(op2_reged[11]),
        .datab(op2_reged[10]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[8]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_10_.cin_used="true";
defparam nop2_reged_10_.operation_mode="arithmetic";
defparam nop2_reged_10_.output_mode="comb_only";
defparam nop2_reged_10_.lut_mask="c310";
defparam nop2_reged_10_.synch_mode="off";
defparam nop2_reged_10_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_9_ (
        .combout(nop2_reged[9]),
        .cout(nop2_reged_cout[9]),
        .dataa(op2_reged[9]),
        .datab(op2_reged[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[7]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_9_.cin_used="true";
defparam nop2_reged_9_.operation_mode="arithmetic";
defparam nop2_reged_9_.output_mode="comb_only";
defparam nop2_reged_9_.lut_mask="6510";
defparam nop2_reged_9_.synch_mode="off";
defparam nop2_reged_9_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_8_ (
        .combout(nop2_reged[8]),
        .cout(nop2_reged_cout[8]),
        .dataa(op2_reged[9]),
        .datab(op2_reged[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[6]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_8_.cin_used="true";
defparam nop2_reged_8_.operation_mode="arithmetic";
defparam nop2_reged_8_.output_mode="comb_only";
defparam nop2_reged_8_.lut_mask="c310";
defparam nop2_reged_8_.synch_mode="off";
defparam nop2_reged_8_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_7_ (
        .combout(nop2_reged[7]),
        .cout(nop2_reged_cout[7]),
        .dataa(op2_reged[7]),
        .datab(op2_reged[6]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[5]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_7_.cin_used="true";
defparam nop2_reged_7_.operation_mode="arithmetic";
defparam nop2_reged_7_.output_mode="comb_only";
defparam nop2_reged_7_.lut_mask="6510";
defparam nop2_reged_7_.synch_mode="off";
defparam nop2_reged_7_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_6_ (
        .combout(nop2_reged[6]),
        .cout(nop2_reged_cout[6]),
        .dataa(op2_reged[7]),
        .datab(op2_reged[6]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[4]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_6_.cin_used="true";
defparam nop2_reged_6_.operation_mode="arithmetic";
defparam nop2_reged_6_.output_mode="comb_only";
defparam nop2_reged_6_.lut_mask="c310";
defparam nop2_reged_6_.synch_mode="off";
defparam nop2_reged_6_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_5_ (
        .combout(nop2_reged[5]),
        .cout(nop2_reged_cout[5]),
        .dataa(op2_reged[5]),
        .datab(op2_reged[4]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[3]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_5_.cin_used="true";
defparam nop2_reged_5_.operation_mode="arithmetic";
defparam nop2_reged_5_.output_mode="comb_only";
defparam nop2_reged_5_.lut_mask="6510";
defparam nop2_reged_5_.synch_mode="off";
defparam nop2_reged_5_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_4_ (
        .combout(nop2_reged[4]),
        .cout(nop2_reged_cout[4]),
        .dataa(op2_reged[5]),
        .datab(op2_reged[4]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[2]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_4_.cin_used="true";
defparam nop2_reged_4_.operation_mode="arithmetic";
defparam nop2_reged_4_.output_mode="comb_only";
defparam nop2_reged_4_.lut_mask="c310";
defparam nop2_reged_4_.synch_mode="off";
defparam nop2_reged_4_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_3_ (
        .combout(nop2_reged[3]),
        .cout(nop2_reged_cout[3]),
        .dataa(op2_reged[3]),
        .datab(op2_reged[2]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[1]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_3_.cin_used="true";
defparam nop2_reged_3_.operation_mode="arithmetic";
defparam nop2_reged_3_.output_mode="comb_only";
defparam nop2_reged_3_.lut_mask="6510";
defparam nop2_reged_3_.synch_mode="off";
defparam nop2_reged_3_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_2_ (
        .combout(nop2_reged[2]),
        .cout(nop2_reged_cout[2]),
        .dataa(op2_reged[3]),
        .datab(op2_reged[2]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(nop2_reged_cout[0]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_2_.cin_used="true";
defparam nop2_reged_2_.operation_mode="arithmetic";
defparam nop2_reged_2_.output_mode="comb_only";
defparam nop2_reged_2_.lut_mask="c310";
defparam nop2_reged_2_.synch_mode="off";
defparam nop2_reged_2_.sum_lutc_input="cin";
// @5:566
  cyclone_lcell nop2_reged_1_ (
        .combout(nop2_reged[1]),
        .cout(nop2_reged_cout[1]),
        .dataa(op2_reged[1]),
        .datab(op2_reged[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_1_.operation_mode="arithmetic";
defparam nop2_reged_1_.output_mode="comb_only";
defparam nop2_reged_1_.lut_mask="6611";
defparam nop2_reged_1_.synch_mode="off";
defparam nop2_reged_1_.sum_lutc_input="datac";
// @5:566
  cyclone_lcell nop2_reged_0_ (
        .combout(N_34),
        .cout(nop2_reged_cout[0]),
        .dataa(op2_reged[1]),
        .datab(op2_reged[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam nop2_reged_0_.operation_mode="arithmetic";
defparam nop2_reged_0_.output_mode="comb_only";
defparam nop2_reged_0_.lut_mask="cc11";
defparam nop2_reged_0_.synch_mode="off";
defparam nop2_reged_0_.sum_lutc_input="datac";
// @5:648
  cyclone_lcell un59_hilo_add32_cZ (
        .combout(un59_hilo_add32),
        .dataa(hilo_64),
        .datab(op2_sign_reged),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_31),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add32_cZ.cin_used="true";
defparam un59_hilo_add32_cZ.operation_mode="normal";
defparam un59_hilo_add32_cZ.output_mode="comb_only";
defparam un59_hilo_add32_cZ.lut_mask="9696";
defparam un59_hilo_add32_cZ.synch_mode="off";
defparam un59_hilo_add32_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add31_cZ (
        .combout(un59_hilo_add31),
        .cout(un59_hilo_carry_31),
        .dataa(hilo_63),
        .datab(op2_reged[31]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_30),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add31_cZ.cin_used="true";
defparam un59_hilo_add31_cZ.operation_mode="arithmetic";
defparam un59_hilo_add31_cZ.output_mode="comb_only";
defparam un59_hilo_add31_cZ.lut_mask="96e8";
defparam un59_hilo_add31_cZ.synch_mode="off";
defparam un59_hilo_add31_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add30_cZ (
        .combout(un59_hilo_add30),
        .cout(un59_hilo_carry_30),
        .dataa(hilo_62),
        .datab(op2_reged[30]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_29),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add30_cZ.cin_used="true";
defparam un59_hilo_add30_cZ.operation_mode="arithmetic";
defparam un59_hilo_add30_cZ.output_mode="comb_only";
defparam un59_hilo_add30_cZ.lut_mask="96e8";
defparam un59_hilo_add30_cZ.synch_mode="off";
defparam un59_hilo_add30_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add29_cZ (
        .combout(un59_hilo_add29),
        .cout(un59_hilo_carry_29),
        .dataa(hilo_61),
        .datab(op2_reged[29]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_28),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add29_cZ.cin_used="true";
defparam un59_hilo_add29_cZ.operation_mode="arithmetic";
defparam un59_hilo_add29_cZ.output_mode="comb_only";
defparam un59_hilo_add29_cZ.lut_mask="96e8";
defparam un59_hilo_add29_cZ.synch_mode="off";
defparam un59_hilo_add29_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add28_cZ (
        .combout(un59_hilo_add28),
        .cout(un59_hilo_carry_28),
        .dataa(hilo_60),
        .datab(op2_reged[28]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_27),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add28_cZ.cin_used="true";
defparam un59_hilo_add28_cZ.operation_mode="arithmetic";
defparam un59_hilo_add28_cZ.output_mode="comb_only";
defparam un59_hilo_add28_cZ.lut_mask="96e8";
defparam un59_hilo_add28_cZ.synch_mode="off";
defparam un59_hilo_add28_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add27_cZ (
        .combout(un59_hilo_add27),
        .cout(un59_hilo_carry_27),
        .dataa(hilo_59),
        .datab(op2_reged[27]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_26),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add27_cZ.cin_used="true";
defparam un59_hilo_add27_cZ.operation_mode="arithmetic";
defparam un59_hilo_add27_cZ.output_mode="comb_only";
defparam un59_hilo_add27_cZ.lut_mask="96e8";
defparam un59_hilo_add27_cZ.synch_mode="off";
defparam un59_hilo_add27_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add26_cZ (
        .combout(un59_hilo_add26),
        .cout(un59_hilo_carry_26),
        .dataa(hilo_58),
        .datab(op2_reged[26]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_25),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add26_cZ.cin_used="true";
defparam un59_hilo_add26_cZ.operation_mode="arithmetic";
defparam un59_hilo_add26_cZ.output_mode="comb_only";
defparam un59_hilo_add26_cZ.lut_mask="96e8";
defparam un59_hilo_add26_cZ.synch_mode="off";
defparam un59_hilo_add26_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add25_cZ (
        .combout(un59_hilo_add25),
        .cout(un59_hilo_carry_25),
        .dataa(hilo_57),
        .datab(op2_reged[25]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_24),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add25_cZ.cin_used="true";
defparam un59_hilo_add25_cZ.operation_mode="arithmetic";
defparam un59_hilo_add25_cZ.output_mode="comb_only";
defparam un59_hilo_add25_cZ.lut_mask="96e8";
defparam un59_hilo_add25_cZ.synch_mode="off";
defparam un59_hilo_add25_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add24_cZ (
        .combout(un59_hilo_add24),
        .cout(un59_hilo_carry_24),
        .dataa(hilo_56),
        .datab(op2_reged[24]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_23),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add24_cZ.cin_used="true";
defparam un59_hilo_add24_cZ.operation_mode="arithmetic";
defparam un59_hilo_add24_cZ.output_mode="comb_only";
defparam un59_hilo_add24_cZ.lut_mask="96e8";
defparam un59_hilo_add24_cZ.synch_mode="off";
defparam un59_hilo_add24_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add23_cZ (
        .combout(un59_hilo_add23),
        .cout(un59_hilo_carry_23),
        .dataa(hilo_55),
        .datab(op2_reged[23]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_22),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add23_cZ.cin_used="true";
defparam un59_hilo_add23_cZ.operation_mode="arithmetic";
defparam un59_hilo_add23_cZ.output_mode="comb_only";
defparam un59_hilo_add23_cZ.lut_mask="96e8";
defparam un59_hilo_add23_cZ.synch_mode="off";
defparam un59_hilo_add23_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add22_cZ (
        .combout(un59_hilo_add22),
        .cout(un59_hilo_carry_22),
        .dataa(hilo_54),
        .datab(op2_reged[22]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_21),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add22_cZ.cin_used="true";
defparam un59_hilo_add22_cZ.operation_mode="arithmetic";
defparam un59_hilo_add22_cZ.output_mode="comb_only";
defparam un59_hilo_add22_cZ.lut_mask="96e8";
defparam un59_hilo_add22_cZ.synch_mode="off";
defparam un59_hilo_add22_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add21_cZ (
        .combout(un59_hilo_add21),
        .cout(un59_hilo_carry_21),
        .dataa(hilo_53),
        .datab(op2_reged[21]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_20),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add21_cZ.cin_used="true";
defparam un59_hilo_add21_cZ.operation_mode="arithmetic";
defparam un59_hilo_add21_cZ.output_mode="comb_only";
defparam un59_hilo_add21_cZ.lut_mask="96e8";
defparam un59_hilo_add21_cZ.synch_mode="off";
defparam un59_hilo_add21_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add20_cZ (
        .combout(un59_hilo_add20),
        .cout(un59_hilo_carry_20),
        .dataa(hilo_52),
        .datab(op2_reged[20]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_19),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add20_cZ.cin_used="true";
defparam un59_hilo_add20_cZ.operation_mode="arithmetic";
defparam un59_hilo_add20_cZ.output_mode="comb_only";
defparam un59_hilo_add20_cZ.lut_mask="96e8";
defparam un59_hilo_add20_cZ.synch_mode="off";
defparam un59_hilo_add20_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add19_cZ (
        .combout(un59_hilo_add19),
        .cout(un59_hilo_carry_19),
        .dataa(hilo_51),
        .datab(op2_reged[19]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_18),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add19_cZ.cin_used="true";
defparam un59_hilo_add19_cZ.operation_mode="arithmetic";
defparam un59_hilo_add19_cZ.output_mode="comb_only";
defparam un59_hilo_add19_cZ.lut_mask="96e8";
defparam un59_hilo_add19_cZ.synch_mode="off";
defparam un59_hilo_add19_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add18_cZ (
        .combout(un59_hilo_add18),
        .cout(un59_hilo_carry_18),
        .dataa(hilo_50),
        .datab(op2_reged[18]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_17),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add18_cZ.cin_used="true";
defparam un59_hilo_add18_cZ.operation_mode="arithmetic";
defparam un59_hilo_add18_cZ.output_mode="comb_only";
defparam un59_hilo_add18_cZ.lut_mask="96e8";
defparam un59_hilo_add18_cZ.synch_mode="off";
defparam un59_hilo_add18_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add17_cZ (
        .combout(un59_hilo_add17),
        .cout(un59_hilo_carry_17),
        .dataa(hilo_49),
        .datab(op2_reged[17]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_16),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add17_cZ.cin_used="true";
defparam un59_hilo_add17_cZ.operation_mode="arithmetic";
defparam un59_hilo_add17_cZ.output_mode="comb_only";
defparam un59_hilo_add17_cZ.lut_mask="96e8";
defparam un59_hilo_add17_cZ.synch_mode="off";
defparam un59_hilo_add17_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add16_cZ (
        .combout(un59_hilo_add16),
        .cout(un59_hilo_carry_16),
        .dataa(hilo_48),
        .datab(op2_reged[16]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_15),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add16_cZ.cin_used="true";
defparam un59_hilo_add16_cZ.operation_mode="arithmetic";
defparam un59_hilo_add16_cZ.output_mode="comb_only";
defparam un59_hilo_add16_cZ.lut_mask="96e8";
defparam un59_hilo_add16_cZ.synch_mode="off";
defparam un59_hilo_add16_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add15_cZ (
        .combout(un59_hilo_add15),
        .cout(un59_hilo_carry_15),
        .dataa(hilo_47),
        .datab(op2_reged[15]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_14),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add15_cZ.cin_used="true";
defparam un59_hilo_add15_cZ.operation_mode="arithmetic";
defparam un59_hilo_add15_cZ.output_mode="comb_only";
defparam un59_hilo_add15_cZ.lut_mask="96e8";
defparam un59_hilo_add15_cZ.synch_mode="off";
defparam un59_hilo_add15_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add14_cZ (
        .combout(un59_hilo_add14),
        .cout(un59_hilo_carry_14),
        .dataa(hilo_46),
        .datab(op2_reged[14]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_13),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add14_cZ.cin_used="true";
defparam un59_hilo_add14_cZ.operation_mode="arithmetic";
defparam un59_hilo_add14_cZ.output_mode="comb_only";
defparam un59_hilo_add14_cZ.lut_mask="96e8";
defparam un59_hilo_add14_cZ.synch_mode="off";
defparam un59_hilo_add14_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add13_cZ (
        .combout(un59_hilo_add13),
        .cout(un59_hilo_carry_13),
        .dataa(hilo_45),
        .datab(op2_reged[13]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_12),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add13_cZ.cin_used="true";
defparam un59_hilo_add13_cZ.operation_mode="arithmetic";
defparam un59_hilo_add13_cZ.output_mode="comb_only";
defparam un59_hilo_add13_cZ.lut_mask="96e8";
defparam un59_hilo_add13_cZ.synch_mode="off";
defparam un59_hilo_add13_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add12_cZ (
        .combout(un59_hilo_add12),
        .cout(un59_hilo_carry_12),
        .dataa(hilo_44),
        .datab(op2_reged[12]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_11),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add12_cZ.cin_used="true";
defparam un59_hilo_add12_cZ.operation_mode="arithmetic";
defparam un59_hilo_add12_cZ.output_mode="comb_only";
defparam un59_hilo_add12_cZ.lut_mask="96e8";
defparam un59_hilo_add12_cZ.synch_mode="off";
defparam un59_hilo_add12_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add11_cZ (
        .combout(un59_hilo_add11),
        .cout(un59_hilo_carry_11),
        .dataa(hilo_43),
        .datab(op2_reged[11]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_10),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add11_cZ.cin_used="true";
defparam un59_hilo_add11_cZ.operation_mode="arithmetic";
defparam un59_hilo_add11_cZ.output_mode="comb_only";
defparam un59_hilo_add11_cZ.lut_mask="96e8";
defparam un59_hilo_add11_cZ.synch_mode="off";
defparam un59_hilo_add11_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add10_cZ (
        .combout(un59_hilo_add10),
        .cout(un59_hilo_carry_10),
        .dataa(hilo_42),
        .datab(op2_reged[10]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_9),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add10_cZ.cin_used="true";
defparam un59_hilo_add10_cZ.operation_mode="arithmetic";
defparam un59_hilo_add10_cZ.output_mode="comb_only";
defparam un59_hilo_add10_cZ.lut_mask="96e8";
defparam un59_hilo_add10_cZ.synch_mode="off";
defparam un59_hilo_add10_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add9_cZ (
        .combout(un59_hilo_add9),
        .cout(un59_hilo_carry_9),
        .dataa(hilo_41),
        .datab(op2_reged[9]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_8),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add9_cZ.cin_used="true";
defparam un59_hilo_add9_cZ.operation_mode="arithmetic";
defparam un59_hilo_add9_cZ.output_mode="comb_only";
defparam un59_hilo_add9_cZ.lut_mask="96e8";
defparam un59_hilo_add9_cZ.synch_mode="off";
defparam un59_hilo_add9_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add8_cZ (
        .combout(un59_hilo_add8),
        .cout(un59_hilo_carry_8),
        .dataa(hilo_40),
        .datab(op2_reged[8]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_7),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add8_cZ.cin_used="true";
defparam un59_hilo_add8_cZ.operation_mode="arithmetic";
defparam un59_hilo_add8_cZ.output_mode="comb_only";
defparam un59_hilo_add8_cZ.lut_mask="96e8";
defparam un59_hilo_add8_cZ.synch_mode="off";
defparam un59_hilo_add8_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add7_cZ (
        .combout(un59_hilo_add7),
        .cout(un59_hilo_carry_7),
        .dataa(hilo_39),
        .datab(op2_reged[7]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_6),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add7_cZ.cin_used="true";
defparam un59_hilo_add7_cZ.operation_mode="arithmetic";
defparam un59_hilo_add7_cZ.output_mode="comb_only";
defparam un59_hilo_add7_cZ.lut_mask="96e8";
defparam un59_hilo_add7_cZ.synch_mode="off";
defparam un59_hilo_add7_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add6_cZ (
        .combout(un59_hilo_add6),
        .cout(un59_hilo_carry_6),
        .dataa(hilo_38),
        .datab(op2_reged[6]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_5),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add6_cZ.cin_used="true";
defparam un59_hilo_add6_cZ.operation_mode="arithmetic";
defparam un59_hilo_add6_cZ.output_mode="comb_only";
defparam un59_hilo_add6_cZ.lut_mask="96e8";
defparam un59_hilo_add6_cZ.synch_mode="off";
defparam un59_hilo_add6_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add5_cZ (
        .combout(un59_hilo_add5),
        .cout(un59_hilo_carry_5),
        .dataa(hilo_37),
        .datab(op2_reged[5]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_4),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add5_cZ.cin_used="true";
defparam un59_hilo_add5_cZ.operation_mode="arithmetic";
defparam un59_hilo_add5_cZ.output_mode="comb_only";
defparam un59_hilo_add5_cZ.lut_mask="96e8";
defparam un59_hilo_add5_cZ.synch_mode="off";
defparam un59_hilo_add5_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add4_cZ (
        .combout(un59_hilo_add4),
        .cout(un59_hilo_carry_4),
        .dataa(hilo_36),
        .datab(op2_reged[4]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_3),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add4_cZ.cin_used="true";
defparam un59_hilo_add4_cZ.operation_mode="arithmetic";
defparam un59_hilo_add4_cZ.output_mode="comb_only";
defparam un59_hilo_add4_cZ.lut_mask="96e8";
defparam un59_hilo_add4_cZ.synch_mode="off";
defparam un59_hilo_add4_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add3_cZ (
        .combout(un59_hilo_add3),
        .cout(un59_hilo_carry_3),
        .dataa(hilo_35),
        .datab(op2_reged[3]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add3_cZ.cin_used="true";
defparam un59_hilo_add3_cZ.operation_mode="arithmetic";
defparam un59_hilo_add3_cZ.output_mode="comb_only";
defparam un59_hilo_add3_cZ.lut_mask="96e8";
defparam un59_hilo_add3_cZ.synch_mode="off";
defparam un59_hilo_add3_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add2_cZ (
        .combout(un59_hilo_add2),
        .cout(un59_hilo_carry_2),
        .dataa(hilo_34),
        .datab(op2_reged[2]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_1),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add2_cZ.cin_used="true";
defparam un59_hilo_add2_cZ.operation_mode="arithmetic";
defparam un59_hilo_add2_cZ.output_mode="comb_only";
defparam un59_hilo_add2_cZ.lut_mask="96e8";
defparam un59_hilo_add2_cZ.synch_mode="off";
defparam un59_hilo_add2_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add1_cZ (
        .combout(un59_hilo_add1),
        .cout(un59_hilo_carry_1),
        .dataa(hilo_33),
        .datab(op2_reged[1]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un59_hilo_carry_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add1_cZ.cin_used="true";
defparam un59_hilo_add1_cZ.operation_mode="arithmetic";
defparam un59_hilo_add1_cZ.output_mode="comb_only";
defparam un59_hilo_add1_cZ.lut_mask="96e8";
defparam un59_hilo_add1_cZ.synch_mode="off";
defparam un59_hilo_add1_cZ.sum_lutc_input="cin";
// @5:648
  cyclone_lcell un59_hilo_add0_cZ (
        .combout(un59_hilo_add0),
        .cout(un59_hilo_carry_0),
        .dataa(hilo_32),
        .datab(op2_reged[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un59_hilo_add0_cZ.operation_mode="arithmetic";
defparam un59_hilo_add0_cZ.output_mode="comb_only";
defparam un59_hilo_add0_cZ.lut_mask="6688";
defparam un59_hilo_add0_cZ.synch_mode="off";
defparam un59_hilo_add0_cZ.sum_lutc_input="datac";
// @5:647
  cyclone_lcell un50_hilo_add32_cZ (
        .combout(un50_hilo_add32),
        .dataa(op2_sign_reged),
        .datab(hilo_64),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_31),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add32_cZ.cin_used="true";
defparam un50_hilo_add32_cZ.operation_mode="normal";
defparam un50_hilo_add32_cZ.output_mode="comb_only";
defparam un50_hilo_add32_cZ.lut_mask="6969";
defparam un50_hilo_add32_cZ.synch_mode="off";
defparam un50_hilo_add32_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add31_cZ (
        .combout(un50_hilo_add31),
        .cout(un50_hilo_carry_31),
        .dataa(op2_reged[31]),
        .datab(hilo_63),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_30),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add31_cZ.cin_used="true";
defparam un50_hilo_add31_cZ.operation_mode="arithmetic";
defparam un50_hilo_add31_cZ.output_mode="comb_only";
defparam un50_hilo_add31_cZ.lut_mask="69d4";
defparam un50_hilo_add31_cZ.synch_mode="off";
defparam un50_hilo_add31_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add30_cZ (
        .combout(un50_hilo_add30),
        .cout(un50_hilo_carry_30),
        .dataa(op2_reged[30]),
        .datab(hilo_62),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_29),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add30_cZ.cin_used="true";
defparam un50_hilo_add30_cZ.operation_mode="arithmetic";
defparam un50_hilo_add30_cZ.output_mode="comb_only";
defparam un50_hilo_add30_cZ.lut_mask="69d4";
defparam un50_hilo_add30_cZ.synch_mode="off";
defparam un50_hilo_add30_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add29_cZ (
        .combout(un50_hilo_add29),
        .cout(un50_hilo_carry_29),
        .dataa(op2_reged[29]),
        .datab(hilo_61),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_28),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add29_cZ.cin_used="true";
defparam un50_hilo_add29_cZ.operation_mode="arithmetic";
defparam un50_hilo_add29_cZ.output_mode="comb_only";
defparam un50_hilo_add29_cZ.lut_mask="69d4";
defparam un50_hilo_add29_cZ.synch_mode="off";
defparam un50_hilo_add29_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add28_cZ (
        .combout(un50_hilo_add28),
        .cout(un50_hilo_carry_28),
        .dataa(op2_reged[28]),
        .datab(hilo_60),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_27),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add28_cZ.cin_used="true";
defparam un50_hilo_add28_cZ.operation_mode="arithmetic";
defparam un50_hilo_add28_cZ.output_mode="comb_only";
defparam un50_hilo_add28_cZ.lut_mask="69d4";
defparam un50_hilo_add28_cZ.synch_mode="off";
defparam un50_hilo_add28_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add27_cZ (
        .combout(un50_hilo_add27),
        .cout(un50_hilo_carry_27),
        .dataa(op2_reged[27]),
        .datab(hilo_59),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_26),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add27_cZ.cin_used="true";
defparam un50_hilo_add27_cZ.operation_mode="arithmetic";
defparam un50_hilo_add27_cZ.output_mode="comb_only";
defparam un50_hilo_add27_cZ.lut_mask="69d4";
defparam un50_hilo_add27_cZ.synch_mode="off";
defparam un50_hilo_add27_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add26_cZ (
        .combout(un50_hilo_add26),
        .cout(un50_hilo_carry_26),
        .dataa(op2_reged[26]),
        .datab(hilo_58),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_25),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add26_cZ.cin_used="true";
defparam un50_hilo_add26_cZ.operation_mode="arithmetic";
defparam un50_hilo_add26_cZ.output_mode="comb_only";
defparam un50_hilo_add26_cZ.lut_mask="69d4";
defparam un50_hilo_add26_cZ.synch_mode="off";
defparam un50_hilo_add26_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add25_cZ (
        .combout(un50_hilo_add25),
        .cout(un50_hilo_carry_25),
        .dataa(op2_reged[25]),
        .datab(hilo_57),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_24),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add25_cZ.cin_used="true";
defparam un50_hilo_add25_cZ.operation_mode="arithmetic";
defparam un50_hilo_add25_cZ.output_mode="comb_only";
defparam un50_hilo_add25_cZ.lut_mask="69d4";
defparam un50_hilo_add25_cZ.synch_mode="off";
defparam un50_hilo_add25_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add24_cZ (
        .combout(un50_hilo_add24),
        .cout(un50_hilo_carry_24),
        .dataa(op2_reged[24]),
        .datab(hilo_56),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_23),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add24_cZ.cin_used="true";
defparam un50_hilo_add24_cZ.operation_mode="arithmetic";
defparam un50_hilo_add24_cZ.output_mode="comb_only";
defparam un50_hilo_add24_cZ.lut_mask="69d4";
defparam un50_hilo_add24_cZ.synch_mode="off";
defparam un50_hilo_add24_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add23_cZ (
        .combout(un50_hilo_add23),
        .cout(un50_hilo_carry_23),
        .dataa(op2_reged[23]),
        .datab(hilo_55),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_22),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add23_cZ.cin_used="true";
defparam un50_hilo_add23_cZ.operation_mode="arithmetic";
defparam un50_hilo_add23_cZ.output_mode="comb_only";
defparam un50_hilo_add23_cZ.lut_mask="69d4";
defparam un50_hilo_add23_cZ.synch_mode="off";
defparam un50_hilo_add23_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add22_cZ (
        .combout(un50_hilo_add22),
        .cout(un50_hilo_carry_22),
        .dataa(op2_reged[22]),
        .datab(hilo_54),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_21),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add22_cZ.cin_used="true";
defparam un50_hilo_add22_cZ.operation_mode="arithmetic";
defparam un50_hilo_add22_cZ.output_mode="comb_only";
defparam un50_hilo_add22_cZ.lut_mask="69d4";
defparam un50_hilo_add22_cZ.synch_mode="off";
defparam un50_hilo_add22_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add21_cZ (
        .combout(un50_hilo_add21),
        .cout(un50_hilo_carry_21),
        .dataa(op2_reged[21]),
        .datab(hilo_53),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_20),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add21_cZ.cin_used="true";
defparam un50_hilo_add21_cZ.operation_mode="arithmetic";
defparam un50_hilo_add21_cZ.output_mode="comb_only";
defparam un50_hilo_add21_cZ.lut_mask="69d4";
defparam un50_hilo_add21_cZ.synch_mode="off";
defparam un50_hilo_add21_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add20_cZ (
        .combout(un50_hilo_add20),
        .cout(un50_hilo_carry_20),
        .dataa(op2_reged[20]),
        .datab(hilo_52),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_19),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add20_cZ.cin_used="true";
defparam un50_hilo_add20_cZ.operation_mode="arithmetic";
defparam un50_hilo_add20_cZ.output_mode="comb_only";
defparam un50_hilo_add20_cZ.lut_mask="69d4";
defparam un50_hilo_add20_cZ.synch_mode="off";
defparam un50_hilo_add20_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add19_cZ (
        .combout(un50_hilo_add19),
        .cout(un50_hilo_carry_19),
        .dataa(op2_reged[19]),
        .datab(hilo_51),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_18),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add19_cZ.cin_used="true";
defparam un50_hilo_add19_cZ.operation_mode="arithmetic";
defparam un50_hilo_add19_cZ.output_mode="comb_only";
defparam un50_hilo_add19_cZ.lut_mask="69d4";
defparam un50_hilo_add19_cZ.synch_mode="off";
defparam un50_hilo_add19_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add18_cZ (
        .combout(un50_hilo_add18),
        .cout(un50_hilo_carry_18),
        .dataa(op2_reged[18]),
        .datab(hilo_50),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_17),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add18_cZ.cin_used="true";
defparam un50_hilo_add18_cZ.operation_mode="arithmetic";
defparam un50_hilo_add18_cZ.output_mode="comb_only";
defparam un50_hilo_add18_cZ.lut_mask="69d4";
defparam un50_hilo_add18_cZ.synch_mode="off";
defparam un50_hilo_add18_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add17_cZ (
        .combout(un50_hilo_add17),
        .cout(un50_hilo_carry_17),
        .dataa(op2_reged[17]),
        .datab(hilo_49),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_16),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add17_cZ.cin_used="true";
defparam un50_hilo_add17_cZ.operation_mode="arithmetic";
defparam un50_hilo_add17_cZ.output_mode="comb_only";
defparam un50_hilo_add17_cZ.lut_mask="69d4";
defparam un50_hilo_add17_cZ.synch_mode="off";
defparam un50_hilo_add17_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add16_cZ (
        .combout(un50_hilo_add16),
        .cout(un50_hilo_carry_16),
        .dataa(op2_reged[16]),
        .datab(hilo_48),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_15),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add16_cZ.cin_used="true";
defparam un50_hilo_add16_cZ.operation_mode="arithmetic";
defparam un50_hilo_add16_cZ.output_mode="comb_only";
defparam un50_hilo_add16_cZ.lut_mask="69d4";
defparam un50_hilo_add16_cZ.synch_mode="off";
defparam un50_hilo_add16_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add15_cZ (
        .combout(un50_hilo_add15),
        .cout(un50_hilo_carry_15),
        .dataa(op2_reged[15]),
        .datab(hilo_47),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_14),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add15_cZ.cin_used="true";
defparam un50_hilo_add15_cZ.operation_mode="arithmetic";
defparam un50_hilo_add15_cZ.output_mode="comb_only";
defparam un50_hilo_add15_cZ.lut_mask="69d4";
defparam un50_hilo_add15_cZ.synch_mode="off";
defparam un50_hilo_add15_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add14_cZ (
        .combout(un50_hilo_add14),
        .cout(un50_hilo_carry_14),
        .dataa(op2_reged[14]),
        .datab(hilo_46),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_13),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add14_cZ.cin_used="true";
defparam un50_hilo_add14_cZ.operation_mode="arithmetic";
defparam un50_hilo_add14_cZ.output_mode="comb_only";
defparam un50_hilo_add14_cZ.lut_mask="69d4";
defparam un50_hilo_add14_cZ.synch_mode="off";
defparam un50_hilo_add14_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add13_cZ (
        .combout(un50_hilo_add13),
        .cout(un50_hilo_carry_13),
        .dataa(op2_reged[13]),
        .datab(hilo_45),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_12),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add13_cZ.cin_used="true";
defparam un50_hilo_add13_cZ.operation_mode="arithmetic";
defparam un50_hilo_add13_cZ.output_mode="comb_only";
defparam un50_hilo_add13_cZ.lut_mask="69d4";
defparam un50_hilo_add13_cZ.synch_mode="off";
defparam un50_hilo_add13_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add12_cZ (
        .combout(un50_hilo_add12),
        .cout(un50_hilo_carry_12),
        .dataa(op2_reged[12]),
        .datab(hilo_44),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_11),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add12_cZ.cin_used="true";
defparam un50_hilo_add12_cZ.operation_mode="arithmetic";
defparam un50_hilo_add12_cZ.output_mode="comb_only";
defparam un50_hilo_add12_cZ.lut_mask="69d4";
defparam un50_hilo_add12_cZ.synch_mode="off";
defparam un50_hilo_add12_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add11_cZ (
        .combout(un50_hilo_add11),
        .cout(un50_hilo_carry_11),
        .dataa(op2_reged[11]),
        .datab(hilo_43),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_10),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add11_cZ.cin_used="true";
defparam un50_hilo_add11_cZ.operation_mode="arithmetic";
defparam un50_hilo_add11_cZ.output_mode="comb_only";
defparam un50_hilo_add11_cZ.lut_mask="69d4";
defparam un50_hilo_add11_cZ.synch_mode="off";
defparam un50_hilo_add11_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add10_cZ (
        .combout(un50_hilo_add10),
        .cout(un50_hilo_carry_10),
        .dataa(op2_reged[10]),
        .datab(hilo_42),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_9),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add10_cZ.cin_used="true";
defparam un50_hilo_add10_cZ.operation_mode="arithmetic";
defparam un50_hilo_add10_cZ.output_mode="comb_only";
defparam un50_hilo_add10_cZ.lut_mask="69d4";
defparam un50_hilo_add10_cZ.synch_mode="off";
defparam un50_hilo_add10_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add9_cZ (
        .combout(un50_hilo_add9),
        .cout(un50_hilo_carry_9),
        .dataa(op2_reged[9]),
        .datab(hilo_41),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_8),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add9_cZ.cin_used="true";
defparam un50_hilo_add9_cZ.operation_mode="arithmetic";
defparam un50_hilo_add9_cZ.output_mode="comb_only";
defparam un50_hilo_add9_cZ.lut_mask="69d4";
defparam un50_hilo_add9_cZ.synch_mode="off";
defparam un50_hilo_add9_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add8_cZ (
        .combout(un50_hilo_add8),
        .cout(un50_hilo_carry_8),
        .dataa(op2_reged[8]),
        .datab(hilo_40),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_7),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add8_cZ.cin_used="true";
defparam un50_hilo_add8_cZ.operation_mode="arithmetic";
defparam un50_hilo_add8_cZ.output_mode="comb_only";
defparam un50_hilo_add8_cZ.lut_mask="69d4";
defparam un50_hilo_add8_cZ.synch_mode="off";
defparam un50_hilo_add8_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add7_cZ (
        .combout(un50_hilo_add7),
        .cout(un50_hilo_carry_7),
        .dataa(op2_reged[7]),
        .datab(hilo_39),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_6),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add7_cZ.cin_used="true";
defparam un50_hilo_add7_cZ.operation_mode="arithmetic";
defparam un50_hilo_add7_cZ.output_mode="comb_only";
defparam un50_hilo_add7_cZ.lut_mask="69d4";
defparam un50_hilo_add7_cZ.synch_mode="off";
defparam un50_hilo_add7_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add6_cZ (
        .combout(un50_hilo_add6),
        .cout(un50_hilo_carry_6),
        .dataa(op2_reged[6]),
        .datab(hilo_38),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_5),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add6_cZ.cin_used="true";
defparam un50_hilo_add6_cZ.operation_mode="arithmetic";
defparam un50_hilo_add6_cZ.output_mode="comb_only";
defparam un50_hilo_add6_cZ.lut_mask="69d4";
defparam un50_hilo_add6_cZ.synch_mode="off";
defparam un50_hilo_add6_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add5_cZ (
        .combout(un50_hilo_add5),
        .cout(un50_hilo_carry_5),
        .dataa(op2_reged[5]),
        .datab(hilo_37),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_4),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add5_cZ.cin_used="true";
defparam un50_hilo_add5_cZ.operation_mode="arithmetic";
defparam un50_hilo_add5_cZ.output_mode="comb_only";
defparam un50_hilo_add5_cZ.lut_mask="69d4";
defparam un50_hilo_add5_cZ.synch_mode="off";
defparam un50_hilo_add5_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add4_cZ (
        .combout(un50_hilo_add4),
        .cout(un50_hilo_carry_4),
        .dataa(op2_reged[4]),
        .datab(hilo_36),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_3),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add4_cZ.cin_used="true";
defparam un50_hilo_add4_cZ.operation_mode="arithmetic";
defparam un50_hilo_add4_cZ.output_mode="comb_only";
defparam un50_hilo_add4_cZ.lut_mask="69d4";
defparam un50_hilo_add4_cZ.synch_mode="off";
defparam un50_hilo_add4_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add3_cZ (
        .combout(un50_hilo_add3),
        .cout(un50_hilo_carry_3),
        .dataa(op2_reged[3]),
        .datab(hilo_35),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add3_cZ.cin_used="true";
defparam un50_hilo_add3_cZ.operation_mode="arithmetic";
defparam un50_hilo_add3_cZ.output_mode="comb_only";
defparam un50_hilo_add3_cZ.lut_mask="69d4";
defparam un50_hilo_add3_cZ.synch_mode="off";
defparam un50_hilo_add3_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add2_cZ (
        .combout(un50_hilo_add2),
        .cout(un50_hilo_carry_2),
        .dataa(op2_reged[2]),
        .datab(hilo_34),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_1),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add2_cZ.cin_used="true";
defparam un50_hilo_add2_cZ.operation_mode="arithmetic";
defparam un50_hilo_add2_cZ.output_mode="comb_only";
defparam un50_hilo_add2_cZ.lut_mask="69d4";
defparam un50_hilo_add2_cZ.synch_mode="off";
defparam un50_hilo_add2_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add1_cZ (
        .combout(un50_hilo_add1),
        .cout(un50_hilo_carry_1),
        .dataa(op2_reged[1]),
        .datab(hilo_33),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un50_hilo_carry_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add1_cZ.cin_used="true";
defparam un50_hilo_add1_cZ.operation_mode="arithmetic";
defparam un50_hilo_add1_cZ.output_mode="comb_only";
defparam un50_hilo_add1_cZ.lut_mask="69d4";
defparam un50_hilo_add1_cZ.synch_mode="off";
defparam un50_hilo_add1_cZ.sum_lutc_input="cin";
// @5:647
  cyclone_lcell un50_hilo_add0_cZ (
        .combout(un50_hilo_add0),
        .cout(un50_hilo_carry_0),
        .dataa(op2_reged[0]),
        .datab(hilo_32),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un50_hilo_add0_cZ.operation_mode="arithmetic";
defparam un50_hilo_add0_cZ.output_mode="comb_only";
defparam un50_hilo_add0_cZ.lut_mask="66dd";
defparam un50_hilo_add0_cZ.synch_mode="off";
defparam un50_hilo_add0_cZ.sum_lutc_input="datac";
  assign  rst_c_i = ~ rst_c;
  assign  hilo_1_sqmuxa_i_i = ~ hilo_1_sqmuxa_i;
endmodule /* muldiv_ff */

// VQM4.1+ 
module alu (
  a_o_i_3,
  a_o_i_0,
  a_o_i_7,
  alu_out_0_a2_0_0_0,
  b_o_iv_0_0,
  b_o_iv_0_16,
  b_o_iv_0_15,
  alu_out_6_0_12,
  alu_out_6_0_11,
  alu_out_6_0_0,
  alu_out_0_a2_1_0,
  b_o_iv_19,
  b_o_iv_16,
  b_o_iv_23,
  b_o_iv_1,
  b_o_iv_21,
  b_o_iv_13,
  b_o_iv_9,
  b_o_iv_2,
  b_o_iv_31,
  b_o_iv_30,
  b_o_iv_29,
  b_o_iv_26,
  b_o_iv_25,
  b_o_iv_20,
  b_o_iv_18,
  b_o_iv_17,
  b_o_iv_15,
  b_o_iv_14,
  b_o_iv_10,
  b_o_iv_8,
  b_o_iv_7,
  b_o_iv_6,
  b_o_iv_5,
  b_o_iv_4,
  b_o_iv_3,
  b_o_iv_24,
  b_o_iv_22,
  b_o_iv_11,
  b_o_iv_0_d0,
  a_o_1,
  a_o_21,
  a_o_13,
  a_o_12,
  a_o_9,
  a_o_2,
  a_o_31,
  a_o_30,
  a_o_29,
  a_o_28,
  a_o_27,
  a_o_26,
  a_o_25,
  a_o_20,
  a_o_18,
  a_o_17,
  a_o_15,
  a_o_14,
  a_o_10,
  a_o_8,
  a_o_7,
  a_o_6,
  a_o_5,
  a_o_4,
  a_o_3,
  a_o_24,
  a_o_22,
  a_o_11,
  a_o_0,
  alu_func_o_1,
  alu_func_o_4,
  alu_func_o_2,
  alu_func_o_3,
  alu_func_o_0,
  m50,
  m4_1,
  m190,
  m220,
  m214,
  m208,
  m202,
  m196,
  m183,
  m177,
  m171,
  m165,
  m159,
  m153,
  m147,
  m141,
  m135,
  m129,
  m123,
  m117,
  m111,
  m105,
  m99,
  m93,
  m87,
  m81,
  m75,
  m69,
  m62,
  m136,
  m118,
  m229,
  m226,
  m4_0,
  m191,
  m221,
  m215,
  m209,
  m203,
  m197,
  m184,
  m178,
  m172,
  m166,
  m160,
  m154,
  m148,
  m142,
  m130,
  m124,
  m112,
  m106,
  m100,
  m94,
  m88,
  m82,
  m76,
  m70,
  m41,
  m63,
  m51
);
input a_o_i_3 ;
input a_o_i_0 ;
input a_o_i_7 ;
output alu_out_0_a2_0_0_0 ;
input b_o_iv_0_0 ;
input b_o_iv_0_16 ;
input b_o_iv_0_15 ;
output alu_out_6_0_12 ;
output alu_out_6_0_11 ;
output alu_out_6_0_0 ;
output alu_out_0_a2_1_0 ;
input b_o_iv_19 ;
input b_o_iv_16 ;
input b_o_iv_23 ;
input b_o_iv_1 ;
input b_o_iv_21 ;
input b_o_iv_13 ;
input b_o_iv_9 ;
input b_o_iv_2 ;
input b_o_iv_31 ;
input b_o_iv_30 ;
input b_o_iv_29 ;
input b_o_iv_26 ;
input b_o_iv_25 ;
input b_o_iv_20 ;
input b_o_iv_18 ;
input b_o_iv_17 ;
input b_o_iv_15 ;
input b_o_iv_14 ;
input b_o_iv_10 ;
input b_o_iv_8 ;
input b_o_iv_7 ;
input b_o_iv_6 ;
input b_o_iv_5 ;
input b_o_iv_4 ;
input b_o_iv_3 ;
input b_o_iv_24 ;
input b_o_iv_22 ;
input b_o_iv_11 ;
input b_o_iv_0_d0 ;
input a_o_1 ;
input a_o_21 ;
input a_o_13 ;
input a_o_12 ;
input a_o_9 ;
input a_o_2 ;
input a_o_31 ;
input a_o_30 ;
input a_o_29 ;
input a_o_28 ;
input a_o_27 ;
input a_o_26 ;
input a_o_25 ;
input a_o_20 ;
input a_o_18 ;
input a_o_17 ;
input a_o_15 ;
input a_o_14 ;
input a_o_10 ;
input a_o_8 ;
input a_o_7 ;
input a_o_6 ;
input a_o_5 ;
input a_o_4 ;
input a_o_3 ;
input a_o_24 ;
input a_o_22 ;
input a_o_11 ;
input a_o_0 ;
input alu_func_o_1 ;
input alu_func_o_4 ;
input alu_func_o_2 ;
input alu_func_o_3 ;
input alu_func_o_0 ;
output m50 ;
output m4_1 ;
output m190 ;
output m220 ;
output m214 ;
output m208 ;
output m202 ;
output m196 ;
output m183 ;
output m177 ;
output m171 ;
output m165 ;
output m159 ;
output m153 ;
output m147 ;
output m141 ;
output m135 ;
output m129 ;
output m123 ;
output m117 ;
output m111 ;
output m105 ;
output m99 ;
output m93 ;
output m87 ;
output m81 ;
output m75 ;
output m69 ;
output m62 ;
output m136 ;
output m118 ;
output m229 ;
output m226 ;
output m4_0 ;
output m191 ;
output m221 ;
output m215 ;
output m209 ;
output m203 ;
output m197 ;
output m184 ;
output m178 ;
output m172 ;
output m166 ;
output m160 ;
output m154 ;
output m148 ;
output m142 ;
output m130 ;
output m124 ;
output m112 ;
output m106 ;
output m100 ;
output m94 ;
output m88 ;
output m82 ;
output m76 ;
output m70 ;
output m41 ;
output m63 ;
output m51 ;
wire a_o_i_3 ;
wire a_o_i_0 ;
wire a_o_i_7 ;
wire alu_out_0_a2_0_0_0 ;
wire b_o_iv_0_0 ;
wire b_o_iv_0_16 ;
wire b_o_iv_0_15 ;
wire alu_out_6_0_12 ;
wire alu_out_6_0_11 ;
wire alu_out_6_0_0 ;
wire alu_out_0_a2_1_0 ;
wire b_o_iv_19 ;
wire b_o_iv_16 ;
wire b_o_iv_23 ;
wire b_o_iv_1 ;
wire b_o_iv_21 ;
wire b_o_iv_13 ;
wire b_o_iv_9 ;
wire b_o_iv_2 ;
wire b_o_iv_31 ;
wire b_o_iv_30 ;
wire b_o_iv_29 ;
wire b_o_iv_26 ;
wire b_o_iv_25 ;
wire b_o_iv_20 ;
wire b_o_iv_18 ;
wire b_o_iv_17 ;
wire b_o_iv_15 ;
wire b_o_iv_14 ;
wire b_o_iv_10 ;
wire b_o_iv_8 ;
wire b_o_iv_7 ;
wire b_o_iv_6 ;
wire b_o_iv_5 ;
wire b_o_iv_4 ;
wire b_o_iv_3 ;
wire b_o_iv_24 ;
wire b_o_iv_22 ;
wire b_o_iv_11 ;
wire b_o_iv_0_d0 ;
wire a_o_1 ;
wire a_o_21 ;
wire a_o_13 ;
wire a_o_12 ;
wire a_o_9 ;
wire a_o_2 ;
wire a_o_31 ;
wire a_o_30 ;
wire a_o_29 ;
wire a_o_28 ;
wire a_o_27 ;
wire a_o_26 ;
wire a_o_25 ;
wire a_o_20 ;
wire a_o_18 ;
wire a_o_17 ;
wire a_o_15 ;
wire a_o_14 ;
wire a_o_10 ;
wire a_o_8 ;
wire a_o_7 ;
wire a_o_6 ;
wire a_o_5 ;
wire a_o_4 ;
wire a_o_3 ;
wire a_o_24 ;
wire a_o_22 ;
wire a_o_11 ;
wire a_o_0 ;
wire alu_func_o_1 ;
wire alu_func_o_4 ;
wire alu_func_o_2 ;
wire alu_func_o_3 ;
wire alu_func_o_0 ;
wire m50 ;
wire m4_1 ;
wire m190 ;
wire m220 ;
wire m214 ;
wire m208 ;
wire m202 ;
wire m196 ;
wire m183 ;
wire m177 ;
wire m171 ;
wire m165 ;
wire m159 ;
wire m153 ;
wire m147 ;
wire m141 ;
wire m135 ;
wire m129 ;
wire m123 ;
wire m117 ;
wire m111 ;
wire m105 ;
wire m99 ;
wire m93 ;
wire m87 ;
wire m81 ;
wire m75 ;
wire m69 ;
wire m62 ;
wire m136 ;
wire m118 ;
wire m229 ;
wire m226 ;
wire m4_0 ;
wire m191 ;
wire m221 ;
wire m215 ;
wire m209 ;
wire m203 ;
wire m197 ;
wire m184 ;
wire m178 ;
wire m172 ;
wire m166 ;
wire m160 ;
wire m154 ;
wire m148 ;
wire m142 ;
wire m130 ;
wire m124 ;
wire m112 ;
wire m106 ;
wire m100 ;
wire m94 ;
wire m88 ;
wire m82 ;
wire m76 ;
wire m70 ;
wire m41 ;
wire m63 ;
wire m51 ;
wire [24:24] alu_out_0_a2_1_a;
wire [24:24] alu_out_6_0;
wire [24:11] alu_out_6_0_a;
wire un1_a_add0_start_cout ;
wire m4 ;
wire m54_a ;
wire sum_add32 ;
wire lt31_cout ;
wire m45 ;
wire m54 ;
wire m51_c ;
wire m40 ;
wire m53 ;
wire m49 ;
wire un1_a_add11 ;
wire un1_a_add22 ;
wire un1_a_add24 ;
wire m64 ;
wire m63_a ;
wire m58 ;
wire m60 ;
wire m70_a ;
wire m76_a ;
wire m82_a ;
wire m88_a ;
wire m94_a ;
wire m100_a ;
wire m106_a ;
wire m112_a ;
wire m124_a ;
wire m130_a ;
wire m142_a ;
wire m148_a ;
wire m154_a ;
wire m160_a ;
wire m166_a ;
wire m172_a ;
wire m178_a ;
wire m184_a ;
wire m197_a ;
wire m203_a ;
wire m209_a ;
wire m215_a ;
wire m221_a ;
wire m191_a ;
wire lt31 ;
wire m45_a ;
wire m52 ;
wire m53_a ;
wire un1_a_add23 ;
wire m40_a ;
wire m226_a ;
wire m118_a ;
wire m136_a ;
wire m5 ;
wire m7 ;
wire m9 ;
wire m10 ;
wire m11 ;
wire m12 ;
wire m13 ;
wire m14 ;
wire m15 ;
wire m16 ;
wire m17 ;
wire m18 ;
wire m19 ;
wire m20 ;
wire m21 ;
wire m22 ;
wire m23 ;
wire m24 ;
wire m25 ;
wire m26 ;
wire m27 ;
wire m28 ;
wire m29 ;
wire m30 ;
wire m31 ;
wire m32 ;
wire m33 ;
wire m34 ;
wire m35 ;
wire m36 ;
wire un1_a_add3 ;
wire un1_a_add4 ;
wire un1_a_add5 ;
wire un1_a_add6 ;
wire un1_a_add7 ;
wire un1_a_add8 ;
wire un1_a_add10 ;
wire un1_a_add14 ;
wire un1_a_add15 ;
wire un1_a_add16 ;
wire un1_a_add17 ;
wire un1_a_add18 ;
wire un1_a_add19 ;
wire un1_a_add20 ;
wire un1_a_add25 ;
wire un1_a_add26 ;
wire un1_a_add27 ;
wire un1_a_add28 ;
wire un1_a_add29 ;
wire un1_a_add30 ;
wire un1_a_add31 ;
wire un1_a_add2 ;
wire un1_a_add9 ;
wire un1_a_add12 ;
wire un1_a_add13 ;
wire un1_a_add21 ;
wire un1_a_add1 ;
wire m8 ;
wire un1_a_add0 ;
wire m6 ;
wire sum_carry_31 ;
wire sum_carry_30 ;
wire sum_carry_29 ;
wire sum_carry_28 ;
wire sum_carry_27 ;
wire sum_carry_26 ;
wire sum_carry_25 ;
wire sum_carry_24 ;
wire sum_carry_23 ;
wire sum_carry_22 ;
wire sum_carry_21 ;
wire sum_carry_20 ;
wire sum_carry_19 ;
wire sum_carry_18 ;
wire sum_carry_17 ;
wire sum_carry_16 ;
wire sum_carry_15 ;
wire sum_carry_14 ;
wire sum_carry_13 ;
wire sum_carry_12 ;
wire sum_carry_11 ;
wire sum_carry_10 ;
wire sum_carry_9 ;
wire sum_carry_8 ;
wire sum_carry_7 ;
wire sum_carry_6 ;
wire sum_carry_5 ;
wire sum_carry_4 ;
wire sum_carry_3 ;
wire sum_carry_2 ;
wire sum_carry_1 ;
wire sum_carry_0 ;
wire un1_a_carry_30 ;
wire un1_a_carry_29 ;
wire un1_a_carry_28 ;
wire un1_a_carry_27 ;
wire un1_a_carry_26 ;
wire un1_a_carry_25 ;
wire un1_a_carry_24 ;
wire un1_a_carry_23 ;
wire un1_a_carry_22 ;
wire un1_a_carry_21 ;
wire un1_a_carry_20 ;
wire un1_a_carry_19 ;
wire un1_a_carry_18 ;
wire un1_a_carry_17 ;
wire un1_a_carry_16 ;
wire un1_a_carry_15 ;
wire un1_a_carry_14 ;
wire un1_a_carry_13 ;
wire un1_a_carry_12 ;
wire un1_a_carry_11 ;
wire un1_a_carry_10 ;
wire un1_a_carry_9 ;
wire un1_a_carry_8 ;
wire un1_a_carry_7 ;
wire un1_a_carry_6 ;
wire un1_a_carry_5 ;
wire un1_a_carry_4 ;
wire un1_a_carry_3 ;
wire un1_a_carry_2 ;
wire un1_a_carry_1 ;
wire un1_a_carry_0 ;
wire lt_30 ;
wire lt_29 ;
wire lt_28 ;
wire lt_27 ;
wire lt_26 ;
wire lt_25 ;
wire lt_24 ;
wire lt_23 ;
wire lt_22 ;
wire lt_21 ;
wire lt_20 ;
wire lt_19 ;
wire lt_18 ;
wire lt_17 ;
wire lt_16 ;
wire lt_15 ;
wire lt_14 ;
wire lt_13 ;
wire lt_12 ;
wire lt_11 ;
wire lt_10 ;
wire lt_9 ;
wire lt_8 ;
wire lt_7 ;
wire lt_6 ;
wire lt_5 ;
wire lt_4 ;
wire lt_3 ;
wire lt_2 ;
wire lt_1 ;
wire lt_0 ;
wire N_1 ;
wire N_2 ;
wire N_3 ;
wire N_4 ;
wire N_5 ;
wire N_6 ;
wire N_7 ;
wire N_8 ;
wire N_9 ;
wire N_10 ;
wire N_11 ;
wire N_12 ;
wire N_13 ;
wire N_14 ;
wire N_15 ;
wire N_16 ;
wire N_17 ;
wire N_18 ;
wire N_19 ;
wire N_20 ;
wire N_21 ;
wire N_22 ;
wire N_23 ;
wire N_24 ;
wire N_25 ;
wire N_26 ;
wire N_27 ;
wire N_28 ;
wire N_29 ;
wire N_30 ;
wire N_31 ;
wire N_32 ;
wire N_33 ;
wire N_34 ;
wire N_35 ;
wire N_36 ;
wire N_37 ;
wire N_38 ;
wire N_39 ;
wire N_40 ;
wire N_41 ;
wire N_42 ;
wire N_43 ;
wire N_44 ;
wire N_45 ;
wire N_46 ;
wire N_47 ;
wire N_48 ;
wire N_49 ;
wire N_50 ;
wire N_51 ;
wire N_52 ;
wire N_53 ;
wire N_54 ;
wire N_55 ;
wire N_56 ;
wire N_57 ;
wire N_58 ;
wire N_59 ;
wire N_60 ;
wire N_61 ;
wire N_62 ;
wire N_63 ;
wire N_64 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
  cyclone_lcell un1_a_add0_start (
        .combout(N_1),
        .cout(un1_a_add0_start_cout),
        .dataa(m4),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add0_start.operation_mode="arithmetic";
defparam un1_a_add0_start.output_mode="comb_only";
defparam un1_a_add0_start.lut_mask="00aa";
defparam un1_a_add0_start.synch_mode="off";
defparam un1_a_add0_start.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m54_a_cZ (
        .combout(m54_a),
        .dataa(sum_add32),
        .datab(alu_func_o_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt31_cout),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m54_a_cZ.cin_used="true";
defparam m54_a_cZ.operation_mode="normal";
defparam m54_a_cZ.output_mode="comb_only";
defparam m54_a_cZ.lut_mask="4747";
defparam m54_a_cZ.synch_mode="off";
defparam m54_a_cZ.sum_lutc_input="cin";
  cyclone_lcell m51_cZ (
        .combout(m51),
        .dataa(a_o_0),
        .datab(m45),
        .datac(m54),
        .datad(m51_c),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m51_cZ.operation_mode="normal";
defparam m51_cZ.output_mode="comb_only";
defparam m51_cZ.lut_mask="5f88";
defparam m51_cZ.synch_mode="off";
defparam m51_cZ.sum_lutc_input="datac";
  cyclone_lcell m51_c_cZ (
        .combout(m51_c),
        .dataa(a_o_0),
        .datab(b_o_iv_0_d0),
        .datac(m40),
        .datad(m53),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m51_c_cZ.operation_mode="normal";
defparam m51_c_cZ.output_mode="comb_only";
defparam m51_c_cZ.lut_mask="98dc";
defparam m51_c_cZ.synch_mode="off";
defparam m51_c_cZ.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_0_a2_1_24_ (
        .combout(alu_out_0_a2_1_0),
        .dataa(alu_func_o_3),
        .datab(alu_out_0_a2_1_a[24]),
        .datac(m49),
        .datad(alu_out_6_0[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_0_a2_1_24_.operation_mode="normal";
defparam alu_out_0_a2_1_24_.output_mode="comb_only";
defparam alu_out_0_a2_1_24_.lut_mask="e400";
defparam alu_out_0_a2_1_24_.synch_mode="off";
defparam alu_out_0_a2_1_24_.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_0_a2_1_a_24_ (
        .combout(alu_out_0_a2_1_a[24]),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_0_a2_1_a_24_.operation_mode="normal";
defparam alu_out_0_a2_1_a_24_.output_mode="comb_only";
defparam alu_out_0_a2_1_a_24_.lut_mask="0808";
defparam alu_out_0_a2_1_a_24_.synch_mode="off";
defparam alu_out_0_a2_1_a_24_.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_6_0_11_ (
        .combout(alu_out_6_0_0),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(alu_out_6_0_a[11]),
        .datad(un1_a_add11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_6_0_11_.operation_mode="normal";
defparam alu_out_6_0_11_.output_mode="comb_only";
defparam alu_out_6_0_11_.lut_mask="fd20";
defparam alu_out_6_0_11_.synch_mode="off";
defparam alu_out_6_0_11_.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_6_0_a_11_ (
        .combout(alu_out_6_0_a[11]),
        .dataa(VCC),
        .datab(alu_func_o_0),
        .datac(a_o_11),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_6_0_a_11_.operation_mode="normal";
defparam alu_out_6_0_a_11_.output_mode="comb_only";
defparam alu_out_6_0_a_11_.lut_mask="033c";
defparam alu_out_6_0_a_11_.synch_mode="off";
defparam alu_out_6_0_a_11_.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_6_0_22_ (
        .combout(alu_out_6_0_11),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(alu_out_6_0_a[22]),
        .datad(un1_a_add22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_6_0_22_.operation_mode="normal";
defparam alu_out_6_0_22_.output_mode="comb_only";
defparam alu_out_6_0_22_.lut_mask="fd20";
defparam alu_out_6_0_22_.synch_mode="off";
defparam alu_out_6_0_22_.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_6_0_a_22_ (
        .combout(alu_out_6_0_a[22]),
        .dataa(VCC),
        .datab(alu_func_o_0),
        .datac(a_o_22),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_6_0_a_22_.operation_mode="normal";
defparam alu_out_6_0_a_22_.output_mode="comb_only";
defparam alu_out_6_0_a_22_.lut_mask="033c";
defparam alu_out_6_0_a_22_.synch_mode="off";
defparam alu_out_6_0_a_22_.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_6_0_24_ (
        .combout(alu_out_6_0[24]),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(alu_out_6_0_a[24]),
        .datad(un1_a_add24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_6_0_24_.operation_mode="normal";
defparam alu_out_6_0_24_.output_mode="comb_only";
defparam alu_out_6_0_24_.lut_mask="fd20";
defparam alu_out_6_0_24_.synch_mode="off";
defparam alu_out_6_0_24_.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_6_0_a_24_ (
        .combout(alu_out_6_0_a[24]),
        .dataa(VCC),
        .datab(alu_func_o_0),
        .datac(a_o_24),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_6_0_a_24_.operation_mode="normal";
defparam alu_out_6_0_a_24_.output_mode="comb_only";
defparam alu_out_6_0_a_24_.lut_mask="033c";
defparam alu_out_6_0_a_24_.synch_mode="off";
defparam alu_out_6_0_a_24_.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m63_cZ (
        .combout(m63),
        .dataa(m41),
        .datab(m64),
        .datac(b_o_iv_3),
        .datad(m63_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m63_cZ.operation_mode="normal";
defparam m63_cZ.output_mode="comb_only";
defparam m63_cZ.lut_mask="30af";
defparam m63_cZ.synch_mode="off";
defparam m63_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m63_a_cZ (
        .combout(m63_a),
        .dataa(m58),
        .datab(m60),
        .datac(a_o_3),
        .datad(b_o_iv_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m63_a_cZ.operation_mode="normal";
defparam m63_a_cZ.output_mode="comb_only";
defparam m63_a_cZ.lut_mask="0f35";
defparam m63_a_cZ.synch_mode="off";
defparam m63_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m70_cZ (
        .combout(m70),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_4),
        .datad(m70_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m70_cZ.operation_mode="normal";
defparam m70_cZ.output_mode="comb_only";
defparam m70_cZ.lut_mask="0af3";
defparam m70_cZ.synch_mode="off";
defparam m70_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m70_a_cZ (
        .combout(m70_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_4),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m70_a_cZ.operation_mode="normal";
defparam m70_a_cZ.output_mode="comb_only";
defparam m70_a_cZ.lut_mask="503f";
defparam m70_a_cZ.synch_mode="off";
defparam m70_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m76_cZ (
        .combout(m76),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_5),
        .datad(m76_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m76_cZ.operation_mode="normal";
defparam m76_cZ.output_mode="comb_only";
defparam m76_cZ.lut_mask="0af3";
defparam m76_cZ.synch_mode="off";
defparam m76_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m76_a_cZ (
        .combout(m76_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_5),
        .datad(b_o_iv_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m76_a_cZ.operation_mode="normal";
defparam m76_a_cZ.output_mode="comb_only";
defparam m76_a_cZ.lut_mask="503f";
defparam m76_a_cZ.synch_mode="off";
defparam m76_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m82_cZ (
        .combout(m82),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_6),
        .datad(m82_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m82_cZ.operation_mode="normal";
defparam m82_cZ.output_mode="comb_only";
defparam m82_cZ.lut_mask="0af3";
defparam m82_cZ.synch_mode="off";
defparam m82_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m82_a_cZ (
        .combout(m82_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_6),
        .datad(b_o_iv_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m82_a_cZ.operation_mode="normal";
defparam m82_a_cZ.output_mode="comb_only";
defparam m82_a_cZ.lut_mask="503f";
defparam m82_a_cZ.synch_mode="off";
defparam m82_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m88_cZ (
        .combout(m88),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_7),
        .datad(m88_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m88_cZ.operation_mode="normal";
defparam m88_cZ.output_mode="comb_only";
defparam m88_cZ.lut_mask="0af3";
defparam m88_cZ.synch_mode="off";
defparam m88_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m88_a_cZ (
        .combout(m88_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_7),
        .datad(b_o_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m88_a_cZ.operation_mode="normal";
defparam m88_a_cZ.output_mode="comb_only";
defparam m88_a_cZ.lut_mask="503f";
defparam m88_a_cZ.synch_mode="off";
defparam m88_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m94_cZ (
        .combout(m94),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_8),
        .datad(m94_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m94_cZ.operation_mode="normal";
defparam m94_cZ.output_mode="comb_only";
defparam m94_cZ.lut_mask="0af3";
defparam m94_cZ.synch_mode="off";
defparam m94_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m94_a_cZ (
        .combout(m94_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_8),
        .datad(b_o_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m94_a_cZ.operation_mode="normal";
defparam m94_a_cZ.output_mode="comb_only";
defparam m94_a_cZ.lut_mask="503f";
defparam m94_a_cZ.synch_mode="off";
defparam m94_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m100_cZ (
        .combout(m100),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_10),
        .datad(m100_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m100_cZ.operation_mode="normal";
defparam m100_cZ.output_mode="comb_only";
defparam m100_cZ.lut_mask="0af3";
defparam m100_cZ.synch_mode="off";
defparam m100_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m100_a_cZ (
        .combout(m100_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_10),
        .datad(b_o_iv_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m100_a_cZ.operation_mode="normal";
defparam m100_a_cZ.output_mode="comb_only";
defparam m100_a_cZ.lut_mask="503f";
defparam m100_a_cZ.synch_mode="off";
defparam m100_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m106_cZ (
        .combout(m106),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_14),
        .datad(m106_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m106_cZ.operation_mode="normal";
defparam m106_cZ.output_mode="comb_only";
defparam m106_cZ.lut_mask="0af3";
defparam m106_cZ.synch_mode="off";
defparam m106_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m106_a_cZ (
        .combout(m106_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_14),
        .datad(b_o_iv_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m106_a_cZ.operation_mode="normal";
defparam m106_a_cZ.output_mode="comb_only";
defparam m106_a_cZ.lut_mask="503f";
defparam m106_a_cZ.synch_mode="off";
defparam m106_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m112_cZ (
        .combout(m112),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_15),
        .datad(m112_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m112_cZ.operation_mode="normal";
defparam m112_cZ.output_mode="comb_only";
defparam m112_cZ.lut_mask="0af3";
defparam m112_cZ.synch_mode="off";
defparam m112_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m112_a_cZ (
        .combout(m112_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_15),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m112_a_cZ.operation_mode="normal";
defparam m112_a_cZ.output_mode="comb_only";
defparam m112_a_cZ.lut_mask="503f";
defparam m112_a_cZ.synch_mode="off";
defparam m112_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m124_cZ (
        .combout(m124),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_17),
        .datad(m124_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m124_cZ.operation_mode="normal";
defparam m124_cZ.output_mode="comb_only";
defparam m124_cZ.lut_mask="0af3";
defparam m124_cZ.synch_mode="off";
defparam m124_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m124_a_cZ (
        .combout(m124_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_17),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m124_a_cZ.operation_mode="normal";
defparam m124_a_cZ.output_mode="comb_only";
defparam m124_a_cZ.lut_mask="503f";
defparam m124_a_cZ.synch_mode="off";
defparam m124_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m130_cZ (
        .combout(m130),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_18),
        .datad(m130_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m130_cZ.operation_mode="normal";
defparam m130_cZ.output_mode="comb_only";
defparam m130_cZ.lut_mask="0af3";
defparam m130_cZ.synch_mode="off";
defparam m130_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m130_a_cZ (
        .combout(m130_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_18),
        .datad(b_o_iv_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m130_a_cZ.operation_mode="normal";
defparam m130_a_cZ.output_mode="comb_only";
defparam m130_a_cZ.lut_mask="503f";
defparam m130_a_cZ.synch_mode="off";
defparam m130_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m142_cZ (
        .combout(m142),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_20),
        .datad(m142_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m142_cZ.operation_mode="normal";
defparam m142_cZ.output_mode="comb_only";
defparam m142_cZ.lut_mask="0af3";
defparam m142_cZ.synch_mode="off";
defparam m142_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m142_a_cZ (
        .combout(m142_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_20),
        .datad(b_o_iv_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m142_a_cZ.operation_mode="normal";
defparam m142_a_cZ.output_mode="comb_only";
defparam m142_a_cZ.lut_mask="503f";
defparam m142_a_cZ.synch_mode="off";
defparam m142_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m148_cZ (
        .combout(m148),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_25),
        .datad(m148_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m148_cZ.operation_mode="normal";
defparam m148_cZ.output_mode="comb_only";
defparam m148_cZ.lut_mask="0af3";
defparam m148_cZ.synch_mode="off";
defparam m148_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m148_a_cZ (
        .combout(m148_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_25),
        .datad(b_o_iv_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m148_a_cZ.operation_mode="normal";
defparam m148_a_cZ.output_mode="comb_only";
defparam m148_a_cZ.lut_mask="503f";
defparam m148_a_cZ.synch_mode="off";
defparam m148_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m154_cZ (
        .combout(m154),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_26),
        .datad(m154_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m154_cZ.operation_mode="normal";
defparam m154_cZ.output_mode="comb_only";
defparam m154_cZ.lut_mask="0af3";
defparam m154_cZ.synch_mode="off";
defparam m154_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m154_a_cZ (
        .combout(m154_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_26),
        .datad(b_o_iv_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m154_a_cZ.operation_mode="normal";
defparam m154_a_cZ.output_mode="comb_only";
defparam m154_a_cZ.lut_mask="503f";
defparam m154_a_cZ.synch_mode="off";
defparam m154_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m160_cZ (
        .combout(m160),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_27),
        .datad(m160_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m160_cZ.operation_mode="normal";
defparam m160_cZ.output_mode="comb_only";
defparam m160_cZ.lut_mask="0af3";
defparam m160_cZ.synch_mode="off";
defparam m160_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m160_a_cZ (
        .combout(m160_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_27),
        .datad(b_o_iv_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m160_a_cZ.operation_mode="normal";
defparam m160_a_cZ.output_mode="comb_only";
defparam m160_a_cZ.lut_mask="503f";
defparam m160_a_cZ.synch_mode="off";
defparam m160_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m166_cZ (
        .combout(m166),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_28),
        .datad(m166_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m166_cZ.operation_mode="normal";
defparam m166_cZ.output_mode="comb_only";
defparam m166_cZ.lut_mask="0af3";
defparam m166_cZ.synch_mode="off";
defparam m166_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m166_a_cZ (
        .combout(m166_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_28),
        .datad(b_o_iv_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m166_a_cZ.operation_mode="normal";
defparam m166_a_cZ.output_mode="comb_only";
defparam m166_a_cZ.lut_mask="503f";
defparam m166_a_cZ.synch_mode="off";
defparam m166_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m172_cZ (
        .combout(m172),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_29),
        .datad(m172_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m172_cZ.operation_mode="normal";
defparam m172_cZ.output_mode="comb_only";
defparam m172_cZ.lut_mask="0af3";
defparam m172_cZ.synch_mode="off";
defparam m172_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m172_a_cZ (
        .combout(m172_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_29),
        .datad(b_o_iv_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m172_a_cZ.operation_mode="normal";
defparam m172_a_cZ.output_mode="comb_only";
defparam m172_a_cZ.lut_mask="503f";
defparam m172_a_cZ.synch_mode="off";
defparam m172_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m178_cZ (
        .combout(m178),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_30),
        .datad(m178_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m178_cZ.operation_mode="normal";
defparam m178_cZ.output_mode="comb_only";
defparam m178_cZ.lut_mask="0af3";
defparam m178_cZ.synch_mode="off";
defparam m178_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m178_a_cZ (
        .combout(m178_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_30),
        .datad(b_o_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m178_a_cZ.operation_mode="normal";
defparam m178_a_cZ.output_mode="comb_only";
defparam m178_a_cZ.lut_mask="503f";
defparam m178_a_cZ.synch_mode="off";
defparam m178_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m184_cZ (
        .combout(m184),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_31),
        .datad(m184_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m184_cZ.operation_mode="normal";
defparam m184_cZ.output_mode="comb_only";
defparam m184_cZ.lut_mask="0af3";
defparam m184_cZ.synch_mode="off";
defparam m184_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m184_a_cZ (
        .combout(m184_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_31),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m184_a_cZ.operation_mode="normal";
defparam m184_a_cZ.output_mode="comb_only";
defparam m184_a_cZ.lut_mask="503f";
defparam m184_a_cZ.synch_mode="off";
defparam m184_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m197_cZ (
        .combout(m197),
        .dataa(m41),
        .datab(m64),
        .datac(b_o_iv_2),
        .datad(m197_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m197_cZ.operation_mode="normal";
defparam m197_cZ.output_mode="comb_only";
defparam m197_cZ.lut_mask="30af";
defparam m197_cZ.synch_mode="off";
defparam m197_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m197_a_cZ (
        .combout(m197_a),
        .dataa(m58),
        .datab(m60),
        .datac(a_o_2),
        .datad(b_o_iv_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m197_a_cZ.operation_mode="normal";
defparam m197_a_cZ.output_mode="comb_only";
defparam m197_a_cZ.lut_mask="0f35";
defparam m197_a_cZ.synch_mode="off";
defparam m197_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m203_cZ (
        .combout(m203),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_9),
        .datad(m203_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m203_cZ.operation_mode="normal";
defparam m203_cZ.output_mode="comb_only";
defparam m203_cZ.lut_mask="0af3";
defparam m203_cZ.synch_mode="off";
defparam m203_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m203_a_cZ (
        .combout(m203_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_9),
        .datad(b_o_iv_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m203_a_cZ.operation_mode="normal";
defparam m203_a_cZ.output_mode="comb_only";
defparam m203_a_cZ.lut_mask="503f";
defparam m203_a_cZ.synch_mode="off";
defparam m203_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m209_cZ (
        .combout(m209),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_12),
        .datad(m209_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m209_cZ.operation_mode="normal";
defparam m209_cZ.output_mode="comb_only";
defparam m209_cZ.lut_mask="0af3";
defparam m209_cZ.synch_mode="off";
defparam m209_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m209_a_cZ (
        .combout(m209_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_12),
        .datad(b_o_iv_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m209_a_cZ.operation_mode="normal";
defparam m209_a_cZ.output_mode="comb_only";
defparam m209_a_cZ.lut_mask="503f";
defparam m209_a_cZ.synch_mode="off";
defparam m209_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m215_cZ (
        .combout(m215),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_13),
        .datad(m215_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m215_cZ.operation_mode="normal";
defparam m215_cZ.output_mode="comb_only";
defparam m215_cZ.lut_mask="0af3";
defparam m215_cZ.synch_mode="off";
defparam m215_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m215_a_cZ (
        .combout(m215_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_13),
        .datad(b_o_iv_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m215_a_cZ.operation_mode="normal";
defparam m215_a_cZ.output_mode="comb_only";
defparam m215_a_cZ.lut_mask="503f";
defparam m215_a_cZ.synch_mode="off";
defparam m215_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m221_cZ (
        .combout(m221),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_21),
        .datad(m221_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m221_cZ.operation_mode="normal";
defparam m221_cZ.output_mode="comb_only";
defparam m221_cZ.lut_mask="0af3";
defparam m221_cZ.synch_mode="off";
defparam m221_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m221_a_cZ (
        .combout(m221_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_21),
        .datad(b_o_iv_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m221_a_cZ.operation_mode="normal";
defparam m221_a_cZ.output_mode="comb_only";
defparam m221_a_cZ.lut_mask="503f";
defparam m221_a_cZ.synch_mode="off";
defparam m221_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m191_cZ (
        .combout(m191),
        .dataa(m41),
        .datab(m64),
        .datac(b_o_iv_1),
        .datad(m191_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m191_cZ.operation_mode="normal";
defparam m191_cZ.output_mode="comb_only";
defparam m191_cZ.lut_mask="30af";
defparam m191_cZ.synch_mode="off";
defparam m191_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m191_a_cZ (
        .combout(m191_a),
        .dataa(m58),
        .datab(m60),
        .datac(a_o_1),
        .datad(b_o_iv_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m191_a_cZ.operation_mode="normal";
defparam m191_a_cZ.output_mode="comb_only";
defparam m191_a_cZ.lut_mask="0f35";
defparam m191_a_cZ.synch_mode="off";
defparam m191_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m45_cZ (
        .combout(m45),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_0),
        .datac(lt31),
        .datad(m45_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m45_cZ.operation_mode="normal";
defparam m45_cZ.output_mode="comb_only";
defparam m45_cZ.lut_mask="a822";
defparam m45_cZ.synch_mode="off";
defparam m45_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m45_a_cZ (
        .combout(m45_a),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_1),
        .datac(alu_func_o_0),
        .datad(sum_add32),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m45_a_cZ.operation_mode="normal";
defparam m45_a_cZ.output_mode="comb_only";
defparam m45_a_cZ.lut_mask="1101";
defparam m45_a_cZ.synch_mode="off";
defparam m45_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m53_cZ (
        .combout(m53),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(m52),
        .datad(m53_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m53_cZ.operation_mode="normal";
defparam m53_cZ.output_mode="comb_only";
defparam m53_cZ.lut_mask="b1f5";
defparam m53_cZ.synch_mode="off";
defparam m53_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m53_a_cZ (
        .combout(m53_a),
        .dataa(alu_func_o_1),
        .datab(alu_func_o_0),
        .datac(lt31),
        .datad(sum_add32),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m53_a_cZ.operation_mode="normal";
defparam m53_a_cZ.output_mode="comb_only";
defparam m53_a_cZ.lut_mask="7632";
defparam m53_a_cZ.synch_mode="off";
defparam m53_a_cZ.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_0_a2_0_0_24_ (
        .combout(alu_out_0_a2_0_0_0),
        .dataa(alu_func_o_3),
        .datab(alu_func_o_0),
        .datac(m41),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_0_a2_0_0_24_.operation_mode="normal";
defparam alu_out_0_a2_0_0_24_.output_mode="comb_only";
defparam alu_out_0_a2_0_0_24_.lut_mask="5010";
defparam alu_out_0_a2_0_0_24_.synch_mode="off";
defparam alu_out_0_a2_0_0_24_.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m4_cZ (
        .combout(m4),
        .dataa(alu_func_o_1),
        .datab(alu_func_o_3),
        .datac(m4_0),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m4_cZ.operation_mode="normal";
defparam m4_cZ.output_mode="comb_only";
defparam m4_cZ.lut_mask="0040";
defparam m4_cZ.synch_mode="off";
defparam m4_cZ.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_6_0_23_ (
        .combout(alu_out_6_0_12),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(alu_out_6_0_a[23]),
        .datad(un1_a_add23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_6_0_23_.operation_mode="normal";
defparam alu_out_6_0_23_.output_mode="comb_only";
defparam alu_out_6_0_23_.lut_mask="fd20";
defparam alu_out_6_0_23_.synch_mode="off";
defparam alu_out_6_0_23_.sum_lutc_input="datac";
// @5:247
  cyclone_lcell alu_out_6_0_a_23_ (
        .combout(alu_out_6_0_a[23]),
        .dataa(VCC),
        .datab(alu_func_o_0),
        .datac(a_o_i_7),
        .datad(b_o_iv_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_out_6_0_a_23_.operation_mode="normal";
defparam alu_out_6_0_a_23_.output_mode="comb_only";
defparam alu_out_6_0_a_23_.lut_mask="30c3";
defparam alu_out_6_0_a_23_.synch_mode="off";
defparam alu_out_6_0_a_23_.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m40_cZ (
        .combout(m40),
        .dataa(alu_func_o_1),
        .datab(alu_func_o_0),
        .datac(lt31),
        .datad(m40_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m40_cZ.operation_mode="normal";
defparam m40_cZ.output_mode="comb_only";
defparam m40_cZ.lut_mask="1044";
defparam m40_cZ.synch_mode="off";
defparam m40_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m40_a_cZ (
        .combout(m40_a),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_0),
        .datad(sum_add32),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m40_a_cZ.operation_mode="normal";
defparam m40_a_cZ.output_mode="comb_only";
defparam m40_a_cZ.lut_mask="3474";
defparam m40_a_cZ.synch_mode="off";
defparam m40_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m54_cZ (
        .combout(m54),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(m41),
        .datad(m54_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m54_cZ.operation_mode="normal";
defparam m54_cZ.output_mode="comb_only";
defparam m54_cZ.lut_mask="0f1b";
defparam m54_cZ.synch_mode="off";
defparam m54_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m58_cZ (
        .combout(m58),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_1),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m58_cZ.operation_mode="normal";
defparam m58_cZ.output_mode="comb_only";
defparam m58_cZ.lut_mask="0800";
defparam m58_cZ.synch_mode="off";
defparam m58_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m60_cZ (
        .combout(m60),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_1),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m60_cZ.operation_mode="normal";
defparam m60_cZ.output_mode="comb_only";
defparam m60_cZ.lut_mask="00c8";
defparam m60_cZ.synch_mode="off";
defparam m60_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m64_cZ (
        .combout(m64),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_1),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m64_cZ.operation_mode="normal";
defparam m64_cZ.output_mode="comb_only";
defparam m64_cZ.lut_mask="7fb7";
defparam m64_cZ.synch_mode="off";
defparam m64_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m226_cZ (
        .combout(m226),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_3),
        .datac(m226_a),
        .datad(m49),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m226_cZ.operation_mode="normal";
defparam m226_cZ.output_mode="comb_only";
defparam m226_cZ.lut_mask="ec20";
defparam m226_cZ.synch_mode="off";
defparam m226_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m226_a_cZ (
        .combout(m226_a),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m226_a_cZ.operation_mode="normal";
defparam m226_a_cZ.output_mode="comb_only";
defparam m226_a_cZ.lut_mask="2222";
defparam m226_a_cZ.synch_mode="off";
defparam m226_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m229_cZ (
        .combout(m229),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_1),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m229_cZ.operation_mode="normal";
defparam m229_cZ.output_mode="comb_only";
defparam m229_cZ.lut_mask="7fbf";
defparam m229_cZ.synch_mode="off";
defparam m229_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m118_cZ (
        .combout(m118),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_i_0),
        .datad(m118_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m118_cZ.operation_mode="normal";
defparam m118_cZ.output_mode="comb_only";
defparam m118_cZ.lut_mask="a03f";
defparam m118_cZ.synch_mode="off";
defparam m118_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m118_a_cZ (
        .combout(m118_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_i_0),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m118_a_cZ.operation_mode="normal";
defparam m118_a_cZ.output_mode="comb_only";
defparam m118_a_cZ.lut_mask="05f3";
defparam m118_a_cZ.synch_mode="off";
defparam m118_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m136_cZ (
        .combout(m136),
        .dataa(m58),
        .datab(m64),
        .datac(a_o_i_3),
        .datad(m136_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m136_cZ.operation_mode="normal";
defparam m136_cZ.output_mode="comb_only";
defparam m136_cZ.lut_mask="a03f";
defparam m136_cZ.synch_mode="off";
defparam m136_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m136_a_cZ (
        .combout(m136_a),
        .dataa(m41),
        .datab(m60),
        .datac(a_o_i_3),
        .datad(b_o_iv_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m136_a_cZ.operation_mode="normal";
defparam m136_a_cZ.output_mode="comb_only";
defparam m136_a_cZ.lut_mask="05f3";
defparam m136_a_cZ.synch_mode="off";
defparam m136_a_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m49_cZ (
        .combout(m49),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_4),
        .datac(alu_func_o_1),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m49_cZ.operation_mode="normal";
defparam m49_cZ.output_mode="comb_only";
defparam m49_cZ.lut_mask="2022";
defparam m49_cZ.synch_mode="off";
defparam m49_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m52_cZ (
        .combout(m52),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(alu_func_o_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m52_cZ.operation_mode="normal";
defparam m52_cZ.output_mode="comb_only";
defparam m52_cZ.lut_mask="7d7d";
defparam m52_cZ.synch_mode="off";
defparam m52_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m4_0_cZ (
        .combout(m4_0),
        .dataa(VCC),
        .datab(VCC),
        .datac(alu_func_o_2),
        .datad(alu_func_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m4_0_cZ.operation_mode="normal";
defparam m4_0_cZ.output_mode="comb_only";
defparam m4_0_cZ.lut_mask="00f0";
defparam m4_0_cZ.synch_mode="off";
defparam m4_0_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m5_cZ (
        .combout(m5),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m5_cZ.operation_mode="normal";
defparam m5_cZ.output_mode="comb_only";
defparam m5_cZ.lut_mask="0ff0";
defparam m5_cZ.synch_mode="off";
defparam m5_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m7_cZ (
        .combout(m7),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m7_cZ.operation_mode="normal";
defparam m7_cZ.output_mode="comb_only";
defparam m7_cZ.lut_mask="0ff0";
defparam m7_cZ.synch_mode="off";
defparam m7_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m9_cZ (
        .combout(m9),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m9_cZ.operation_mode="normal";
defparam m9_cZ.output_mode="comb_only";
defparam m9_cZ.lut_mask="0ff0";
defparam m9_cZ.synch_mode="off";
defparam m9_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m10_cZ (
        .combout(m10),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m10_cZ.operation_mode="normal";
defparam m10_cZ.output_mode="comb_only";
defparam m10_cZ.lut_mask="0ff0";
defparam m10_cZ.synch_mode="off";
defparam m10_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m11_cZ (
        .combout(m11),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m11_cZ.operation_mode="normal";
defparam m11_cZ.output_mode="comb_only";
defparam m11_cZ.lut_mask="0ff0";
defparam m11_cZ.synch_mode="off";
defparam m11_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m12_cZ (
        .combout(m12),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m12_cZ.operation_mode="normal";
defparam m12_cZ.output_mode="comb_only";
defparam m12_cZ.lut_mask="0ff0";
defparam m12_cZ.synch_mode="off";
defparam m12_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m13_cZ (
        .combout(m13),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m13_cZ.operation_mode="normal";
defparam m13_cZ.output_mode="comb_only";
defparam m13_cZ.lut_mask="0ff0";
defparam m13_cZ.synch_mode="off";
defparam m13_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m14_cZ (
        .combout(m14),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m14_cZ.operation_mode="normal";
defparam m14_cZ.output_mode="comb_only";
defparam m14_cZ.lut_mask="0ff0";
defparam m14_cZ.synch_mode="off";
defparam m14_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m15_cZ (
        .combout(m15),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m15_cZ.operation_mode="normal";
defparam m15_cZ.output_mode="comb_only";
defparam m15_cZ.lut_mask="0ff0";
defparam m15_cZ.synch_mode="off";
defparam m15_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m16_cZ (
        .combout(m16),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m16_cZ.operation_mode="normal";
defparam m16_cZ.output_mode="comb_only";
defparam m16_cZ.lut_mask="0ff0";
defparam m16_cZ.synch_mode="off";
defparam m16_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m17_cZ (
        .combout(m17),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m17_cZ.operation_mode="normal";
defparam m17_cZ.output_mode="comb_only";
defparam m17_cZ.lut_mask="0ff0";
defparam m17_cZ.synch_mode="off";
defparam m17_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m18_cZ (
        .combout(m18),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m18_cZ.operation_mode="normal";
defparam m18_cZ.output_mode="comb_only";
defparam m18_cZ.lut_mask="0ff0";
defparam m18_cZ.synch_mode="off";
defparam m18_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m19_cZ (
        .combout(m19),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m19_cZ.operation_mode="normal";
defparam m19_cZ.output_mode="comb_only";
defparam m19_cZ.lut_mask="0ff0";
defparam m19_cZ.synch_mode="off";
defparam m19_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m20_cZ (
        .combout(m20),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m20_cZ.operation_mode="normal";
defparam m20_cZ.output_mode="comb_only";
defparam m20_cZ.lut_mask="0ff0";
defparam m20_cZ.synch_mode="off";
defparam m20_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m21_cZ (
        .combout(m21),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m21_cZ.operation_mode="normal";
defparam m21_cZ.output_mode="comb_only";
defparam m21_cZ.lut_mask="0ff0";
defparam m21_cZ.synch_mode="off";
defparam m21_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m22_cZ (
        .combout(m22),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m22_cZ.operation_mode="normal";
defparam m22_cZ.output_mode="comb_only";
defparam m22_cZ.lut_mask="0ff0";
defparam m22_cZ.synch_mode="off";
defparam m22_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m23_cZ (
        .combout(m23),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m23_cZ.operation_mode="normal";
defparam m23_cZ.output_mode="comb_only";
defparam m23_cZ.lut_mask="0ff0";
defparam m23_cZ.synch_mode="off";
defparam m23_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m24_cZ (
        .combout(m24),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m24_cZ.operation_mode="normal";
defparam m24_cZ.output_mode="comb_only";
defparam m24_cZ.lut_mask="0ff0";
defparam m24_cZ.synch_mode="off";
defparam m24_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m25_cZ (
        .combout(m25),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m25_cZ.operation_mode="normal";
defparam m25_cZ.output_mode="comb_only";
defparam m25_cZ.lut_mask="0ff0";
defparam m25_cZ.synch_mode="off";
defparam m25_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m26_cZ (
        .combout(m26),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m26_cZ.operation_mode="normal";
defparam m26_cZ.output_mode="comb_only";
defparam m26_cZ.lut_mask="0ff0";
defparam m26_cZ.synch_mode="off";
defparam m26_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m27_cZ (
        .combout(m27),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m27_cZ.operation_mode="normal";
defparam m27_cZ.output_mode="comb_only";
defparam m27_cZ.lut_mask="f00f";
defparam m27_cZ.synch_mode="off";
defparam m27_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m28_cZ (
        .combout(m28),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m28_cZ.operation_mode="normal";
defparam m28_cZ.output_mode="comb_only";
defparam m28_cZ.lut_mask="f00f";
defparam m28_cZ.synch_mode="off";
defparam m28_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m29_cZ (
        .combout(m29),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m29_cZ.operation_mode="normal";
defparam m29_cZ.output_mode="comb_only";
defparam m29_cZ.lut_mask="f00f";
defparam m29_cZ.synch_mode="off";
defparam m29_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m30_cZ (
        .combout(m30),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m30_cZ.operation_mode="normal";
defparam m30_cZ.output_mode="comb_only";
defparam m30_cZ.lut_mask="0ff0";
defparam m30_cZ.synch_mode="off";
defparam m30_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m31_cZ (
        .combout(m31),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m31_cZ.operation_mode="normal";
defparam m31_cZ.output_mode="comb_only";
defparam m31_cZ.lut_mask="0ff0";
defparam m31_cZ.synch_mode="off";
defparam m31_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m32_cZ (
        .combout(m32),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m32_cZ.operation_mode="normal";
defparam m32_cZ.output_mode="comb_only";
defparam m32_cZ.lut_mask="0ff0";
defparam m32_cZ.synch_mode="off";
defparam m32_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m33_cZ (
        .combout(m33),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m33_cZ.operation_mode="normal";
defparam m33_cZ.output_mode="comb_only";
defparam m33_cZ.lut_mask="0ff0";
defparam m33_cZ.synch_mode="off";
defparam m33_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m34_cZ (
        .combout(m34),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m34_cZ.operation_mode="normal";
defparam m34_cZ.output_mode="comb_only";
defparam m34_cZ.lut_mask="0ff0";
defparam m34_cZ.synch_mode="off";
defparam m34_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m35_cZ (
        .combout(m35),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m35_cZ.operation_mode="normal";
defparam m35_cZ.output_mode="comb_only";
defparam m35_cZ.lut_mask="0ff0";
defparam m35_cZ.synch_mode="off";
defparam m35_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m36_cZ (
        .combout(m36),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m36_cZ.operation_mode="normal";
defparam m36_cZ.output_mode="comb_only";
defparam m36_cZ.lut_mask="0ff0";
defparam m36_cZ.synch_mode="off";
defparam m36_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m62_cZ (
        .combout(m62),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m62_cZ.operation_mode="normal";
defparam m62_cZ.output_mode="comb_only";
defparam m62_cZ.lut_mask="f000";
defparam m62_cZ.synch_mode="off";
defparam m62_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m69_cZ (
        .combout(m69),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m69_cZ.operation_mode="normal";
defparam m69_cZ.output_mode="comb_only";
defparam m69_cZ.lut_mask="f000";
defparam m69_cZ.synch_mode="off";
defparam m69_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m75_cZ (
        .combout(m75),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m75_cZ.operation_mode="normal";
defparam m75_cZ.output_mode="comb_only";
defparam m75_cZ.lut_mask="f000";
defparam m75_cZ.synch_mode="off";
defparam m75_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m81_cZ (
        .combout(m81),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m81_cZ.operation_mode="normal";
defparam m81_cZ.output_mode="comb_only";
defparam m81_cZ.lut_mask="f000";
defparam m81_cZ.synch_mode="off";
defparam m81_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m87_cZ (
        .combout(m87),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m87_cZ.operation_mode="normal";
defparam m87_cZ.output_mode="comb_only";
defparam m87_cZ.lut_mask="f000";
defparam m87_cZ.synch_mode="off";
defparam m87_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m93_cZ (
        .combout(m93),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m93_cZ.operation_mode="normal";
defparam m93_cZ.output_mode="comb_only";
defparam m93_cZ.lut_mask="f000";
defparam m93_cZ.synch_mode="off";
defparam m93_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m99_cZ (
        .combout(m99),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m99_cZ.operation_mode="normal";
defparam m99_cZ.output_mode="comb_only";
defparam m99_cZ.lut_mask="f000";
defparam m99_cZ.synch_mode="off";
defparam m99_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m105_cZ (
        .combout(m105),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m105_cZ.operation_mode="normal";
defparam m105_cZ.output_mode="comb_only";
defparam m105_cZ.lut_mask="f000";
defparam m105_cZ.synch_mode="off";
defparam m105_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m111_cZ (
        .combout(m111),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m111_cZ.operation_mode="normal";
defparam m111_cZ.output_mode="comb_only";
defparam m111_cZ.lut_mask="f000";
defparam m111_cZ.synch_mode="off";
defparam m111_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m117_cZ (
        .combout(m117),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m117_cZ.operation_mode="normal";
defparam m117_cZ.output_mode="comb_only";
defparam m117_cZ.lut_mask="f000";
defparam m117_cZ.synch_mode="off";
defparam m117_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m123_cZ (
        .combout(m123),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m123_cZ.operation_mode="normal";
defparam m123_cZ.output_mode="comb_only";
defparam m123_cZ.lut_mask="f000";
defparam m123_cZ.synch_mode="off";
defparam m123_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m129_cZ (
        .combout(m129),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m129_cZ.operation_mode="normal";
defparam m129_cZ.output_mode="comb_only";
defparam m129_cZ.lut_mask="f000";
defparam m129_cZ.synch_mode="off";
defparam m129_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m135_cZ (
        .combout(m135),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m135_cZ.operation_mode="normal";
defparam m135_cZ.output_mode="comb_only";
defparam m135_cZ.lut_mask="f000";
defparam m135_cZ.synch_mode="off";
defparam m135_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m141_cZ (
        .combout(m141),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m141_cZ.operation_mode="normal";
defparam m141_cZ.output_mode="comb_only";
defparam m141_cZ.lut_mask="f000";
defparam m141_cZ.synch_mode="off";
defparam m141_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m147_cZ (
        .combout(m147),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m147_cZ.operation_mode="normal";
defparam m147_cZ.output_mode="comb_only";
defparam m147_cZ.lut_mask="f000";
defparam m147_cZ.synch_mode="off";
defparam m147_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m153_cZ (
        .combout(m153),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m153_cZ.operation_mode="normal";
defparam m153_cZ.output_mode="comb_only";
defparam m153_cZ.lut_mask="f000";
defparam m153_cZ.synch_mode="off";
defparam m153_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m159_cZ (
        .combout(m159),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m159_cZ.operation_mode="normal";
defparam m159_cZ.output_mode="comb_only";
defparam m159_cZ.lut_mask="f000";
defparam m159_cZ.synch_mode="off";
defparam m159_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m165_cZ (
        .combout(m165),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m165_cZ.operation_mode="normal";
defparam m165_cZ.output_mode="comb_only";
defparam m165_cZ.lut_mask="f000";
defparam m165_cZ.synch_mode="off";
defparam m165_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m171_cZ (
        .combout(m171),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m171_cZ.operation_mode="normal";
defparam m171_cZ.output_mode="comb_only";
defparam m171_cZ.lut_mask="f000";
defparam m171_cZ.synch_mode="off";
defparam m171_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m177_cZ (
        .combout(m177),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m177_cZ.operation_mode="normal";
defparam m177_cZ.output_mode="comb_only";
defparam m177_cZ.lut_mask="f000";
defparam m177_cZ.synch_mode="off";
defparam m177_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m183_cZ (
        .combout(m183),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m183_cZ.operation_mode="normal";
defparam m183_cZ.output_mode="comb_only";
defparam m183_cZ.lut_mask="f000";
defparam m183_cZ.synch_mode="off";
defparam m183_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m196_cZ (
        .combout(m196),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m196_cZ.operation_mode="normal";
defparam m196_cZ.output_mode="comb_only";
defparam m196_cZ.lut_mask="f000";
defparam m196_cZ.synch_mode="off";
defparam m196_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m202_cZ (
        .combout(m202),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m202_cZ.operation_mode="normal";
defparam m202_cZ.output_mode="comb_only";
defparam m202_cZ.lut_mask="f000";
defparam m202_cZ.synch_mode="off";
defparam m202_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m208_cZ (
        .combout(m208),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m208_cZ.operation_mode="normal";
defparam m208_cZ.output_mode="comb_only";
defparam m208_cZ.lut_mask="f000";
defparam m208_cZ.synch_mode="off";
defparam m208_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m214_cZ (
        .combout(m214),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m214_cZ.operation_mode="normal";
defparam m214_cZ.output_mode="comb_only";
defparam m214_cZ.lut_mask="f000";
defparam m214_cZ.synch_mode="off";
defparam m214_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m220_cZ (
        .combout(m220),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m220_cZ.operation_mode="normal";
defparam m220_cZ.output_mode="comb_only";
defparam m220_cZ.lut_mask="f000";
defparam m220_cZ.synch_mode="off";
defparam m220_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m190_cZ (
        .combout(m190),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m190_cZ.operation_mode="normal";
defparam m190_cZ.output_mode="comb_only";
defparam m190_cZ.lut_mask="f000";
defparam m190_cZ.synch_mode="off";
defparam m190_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m8_cZ (
        .combout(m8),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m8_cZ.operation_mode="normal";
defparam m8_cZ.output_mode="comb_only";
defparam m8_cZ.lut_mask="0ff0";
defparam m8_cZ.synch_mode="off";
defparam m8_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m4_1_cZ (
        .combout(m4_1),
        .dataa(alu_func_o_1),
        .datab(alu_func_o_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m4_1_cZ.operation_mode="normal";
defparam m4_1_cZ.output_mode="comb_only";
defparam m4_1_cZ.lut_mask="1111";
defparam m4_1_cZ.synch_mode="off";
defparam m4_1_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m50_cZ (
        .combout(m50),
        .dataa(VCC),
        .datab(VCC),
        .datac(m49),
        .datad(un1_a_add0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m50_cZ.operation_mode="normal";
defparam m50_cZ.output_mode="comb_only";
defparam m50_cZ.lut_mask="f000";
defparam m50_cZ.synch_mode="off";
defparam m50_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m41_cZ (
        .combout(m41),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m41_cZ.operation_mode="normal";
defparam m41_cZ.output_mode="comb_only";
defparam m41_cZ.lut_mask="8888";
defparam m41_cZ.synch_mode="off";
defparam m41_cZ.sum_lutc_input="datac";
// @5:176
  cyclone_lcell m6_cZ (
        .combout(m6),
        .dataa(VCC),
        .datab(VCC),
        .datac(m4),
        .datad(b_o_iv_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m6_cZ.operation_mode="normal";
defparam m6_cZ.output_mode="comb_only";
defparam m6_cZ.lut_mask="0ff0";
defparam m6_cZ.synch_mode="off";
defparam m6_cZ.sum_lutc_input="datac";
// @5:261
  cyclone_lcell sum_add32_cZ (
        .combout(sum_add32),
        .dataa(b_o_iv_31),
        .datab(a_o_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_31),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add32_cZ.cin_used="true";
defparam sum_add32_cZ.operation_mode="normal";
defparam sum_add32_cZ.output_mode="comb_only";
defparam sum_add32_cZ.lut_mask="6969";
defparam sum_add32_cZ.synch_mode="off";
defparam sum_add32_cZ.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add31 (
        .combout(N_2),
        .cout(sum_carry_31),
        .dataa(b_o_iv_31),
        .datab(a_o_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_30),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add31.cin_used="true";
defparam sum_add31.operation_mode="arithmetic";
defparam sum_add31.output_mode="comb_only";
defparam sum_add31.lut_mask="69d4";
defparam sum_add31.synch_mode="off";
defparam sum_add31.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add30 (
        .combout(N_3),
        .cout(sum_carry_30),
        .dataa(b_o_iv_30),
        .datab(a_o_30),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_29),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add30.cin_used="true";
defparam sum_add30.operation_mode="arithmetic";
defparam sum_add30.output_mode="comb_only";
defparam sum_add30.lut_mask="69d4";
defparam sum_add30.synch_mode="off";
defparam sum_add30.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add29 (
        .combout(N_4),
        .cout(sum_carry_29),
        .dataa(b_o_iv_29),
        .datab(a_o_29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_28),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add29.cin_used="true";
defparam sum_add29.operation_mode="arithmetic";
defparam sum_add29.output_mode="comb_only";
defparam sum_add29.lut_mask="69d4";
defparam sum_add29.synch_mode="off";
defparam sum_add29.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add28 (
        .combout(N_5),
        .cout(sum_carry_28),
        .dataa(b_o_iv_0_16),
        .datab(a_o_28),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_27),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add28.cin_used="true";
defparam sum_add28.operation_mode="arithmetic";
defparam sum_add28.output_mode="comb_only";
defparam sum_add28.lut_mask="69d4";
defparam sum_add28.synch_mode="off";
defparam sum_add28.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add27 (
        .combout(N_6),
        .cout(sum_carry_27),
        .dataa(b_o_iv_0_15),
        .datab(a_o_27),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_26),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add27.cin_used="true";
defparam sum_add27.operation_mode="arithmetic";
defparam sum_add27.output_mode="comb_only";
defparam sum_add27.lut_mask="69d4";
defparam sum_add27.synch_mode="off";
defparam sum_add27.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add26 (
        .combout(N_7),
        .cout(sum_carry_26),
        .dataa(b_o_iv_26),
        .datab(a_o_26),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_25),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add26.cin_used="true";
defparam sum_add26.operation_mode="arithmetic";
defparam sum_add26.output_mode="comb_only";
defparam sum_add26.lut_mask="69d4";
defparam sum_add26.synch_mode="off";
defparam sum_add26.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add25 (
        .combout(N_8),
        .cout(sum_carry_25),
        .dataa(b_o_iv_25),
        .datab(a_o_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_24),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add25.cin_used="true";
defparam sum_add25.operation_mode="arithmetic";
defparam sum_add25.output_mode="comb_only";
defparam sum_add25.lut_mask="69d4";
defparam sum_add25.synch_mode="off";
defparam sum_add25.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add24 (
        .combout(N_9),
        .cout(sum_carry_24),
        .dataa(b_o_iv_24),
        .datab(a_o_24),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_23),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add24.cin_used="true";
defparam sum_add24.operation_mode="arithmetic";
defparam sum_add24.output_mode="comb_only";
defparam sum_add24.lut_mask="69d4";
defparam sum_add24.synch_mode="off";
defparam sum_add24.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add23 (
        .combout(N_10),
        .cout(sum_carry_23),
        .dataa(b_o_iv_23),
        .datab(a_o_i_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_22),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add23.cin_used="true";
defparam sum_add23.operation_mode="arithmetic";
defparam sum_add23.output_mode="comb_only";
defparam sum_add23.lut_mask="9671";
defparam sum_add23.synch_mode="off";
defparam sum_add23.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add22 (
        .combout(N_11),
        .cout(sum_carry_22),
        .dataa(b_o_iv_22),
        .datab(a_o_22),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_21),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add22.cin_used="true";
defparam sum_add22.operation_mode="arithmetic";
defparam sum_add22.output_mode="comb_only";
defparam sum_add22.lut_mask="69d4";
defparam sum_add22.synch_mode="off";
defparam sum_add22.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add21 (
        .combout(N_12),
        .cout(sum_carry_21),
        .dataa(b_o_iv_21),
        .datab(a_o_21),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_20),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add21.cin_used="true";
defparam sum_add21.operation_mode="arithmetic";
defparam sum_add21.output_mode="comb_only";
defparam sum_add21.lut_mask="69d4";
defparam sum_add21.synch_mode="off";
defparam sum_add21.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add20 (
        .combout(N_13),
        .cout(sum_carry_20),
        .dataa(b_o_iv_20),
        .datab(a_o_20),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_19),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add20.cin_used="true";
defparam sum_add20.operation_mode="arithmetic";
defparam sum_add20.output_mode="comb_only";
defparam sum_add20.lut_mask="69d4";
defparam sum_add20.synch_mode="off";
defparam sum_add20.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add19 (
        .combout(N_14),
        .cout(sum_carry_19),
        .dataa(b_o_iv_19),
        .datab(a_o_i_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_18),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add19.cin_used="true";
defparam sum_add19.operation_mode="arithmetic";
defparam sum_add19.output_mode="comb_only";
defparam sum_add19.lut_mask="9671";
defparam sum_add19.synch_mode="off";
defparam sum_add19.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add18 (
        .combout(N_15),
        .cout(sum_carry_18),
        .dataa(b_o_iv_18),
        .datab(a_o_18),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_17),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add18.cin_used="true";
defparam sum_add18.operation_mode="arithmetic";
defparam sum_add18.output_mode="comb_only";
defparam sum_add18.lut_mask="69d4";
defparam sum_add18.synch_mode="off";
defparam sum_add18.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add17 (
        .combout(N_16),
        .cout(sum_carry_17),
        .dataa(b_o_iv_17),
        .datab(a_o_17),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_16),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add17.cin_used="true";
defparam sum_add17.operation_mode="arithmetic";
defparam sum_add17.output_mode="comb_only";
defparam sum_add17.lut_mask="69d4";
defparam sum_add17.synch_mode="off";
defparam sum_add17.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add16 (
        .combout(N_17),
        .cout(sum_carry_16),
        .dataa(b_o_iv_16),
        .datab(a_o_i_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_15),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add16.cin_used="true";
defparam sum_add16.operation_mode="arithmetic";
defparam sum_add16.output_mode="comb_only";
defparam sum_add16.lut_mask="9671";
defparam sum_add16.synch_mode="off";
defparam sum_add16.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add15 (
        .combout(N_18),
        .cout(sum_carry_15),
        .dataa(b_o_iv_15),
        .datab(a_o_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_14),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add15.cin_used="true";
defparam sum_add15.operation_mode="arithmetic";
defparam sum_add15.output_mode="comb_only";
defparam sum_add15.lut_mask="69d4";
defparam sum_add15.synch_mode="off";
defparam sum_add15.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add14 (
        .combout(N_19),
        .cout(sum_carry_14),
        .dataa(b_o_iv_14),
        .datab(a_o_14),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_13),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add14.cin_used="true";
defparam sum_add14.operation_mode="arithmetic";
defparam sum_add14.output_mode="comb_only";
defparam sum_add14.lut_mask="69d4";
defparam sum_add14.synch_mode="off";
defparam sum_add14.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add13 (
        .combout(N_20),
        .cout(sum_carry_13),
        .dataa(b_o_iv_13),
        .datab(a_o_13),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_12),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add13.cin_used="true";
defparam sum_add13.operation_mode="arithmetic";
defparam sum_add13.output_mode="comb_only";
defparam sum_add13.lut_mask="69d4";
defparam sum_add13.synch_mode="off";
defparam sum_add13.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add12 (
        .combout(N_21),
        .cout(sum_carry_12),
        .dataa(b_o_iv_0_0),
        .datab(a_o_12),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_11),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add12.cin_used="true";
defparam sum_add12.operation_mode="arithmetic";
defparam sum_add12.output_mode="comb_only";
defparam sum_add12.lut_mask="69d4";
defparam sum_add12.synch_mode="off";
defparam sum_add12.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add11 (
        .combout(N_22),
        .cout(sum_carry_11),
        .dataa(b_o_iv_11),
        .datab(a_o_11),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_10),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add11.cin_used="true";
defparam sum_add11.operation_mode="arithmetic";
defparam sum_add11.output_mode="comb_only";
defparam sum_add11.lut_mask="69d4";
defparam sum_add11.synch_mode="off";
defparam sum_add11.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add10 (
        .combout(N_23),
        .cout(sum_carry_10),
        .dataa(b_o_iv_10),
        .datab(a_o_10),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_9),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add10.cin_used="true";
defparam sum_add10.operation_mode="arithmetic";
defparam sum_add10.output_mode="comb_only";
defparam sum_add10.lut_mask="69d4";
defparam sum_add10.synch_mode="off";
defparam sum_add10.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add9 (
        .combout(N_24),
        .cout(sum_carry_9),
        .dataa(b_o_iv_9),
        .datab(a_o_9),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_8),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add9.cin_used="true";
defparam sum_add9.operation_mode="arithmetic";
defparam sum_add9.output_mode="comb_only";
defparam sum_add9.lut_mask="69d4";
defparam sum_add9.synch_mode="off";
defparam sum_add9.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add8 (
        .combout(N_25),
        .cout(sum_carry_8),
        .dataa(b_o_iv_8),
        .datab(a_o_8),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_7),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add8.cin_used="true";
defparam sum_add8.operation_mode="arithmetic";
defparam sum_add8.output_mode="comb_only";
defparam sum_add8.lut_mask="69d4";
defparam sum_add8.synch_mode="off";
defparam sum_add8.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add7 (
        .combout(N_26),
        .cout(sum_carry_7),
        .dataa(b_o_iv_7),
        .datab(a_o_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_6),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add7.cin_used="true";
defparam sum_add7.operation_mode="arithmetic";
defparam sum_add7.output_mode="comb_only";
defparam sum_add7.lut_mask="69d4";
defparam sum_add7.synch_mode="off";
defparam sum_add7.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add6 (
        .combout(N_27),
        .cout(sum_carry_6),
        .dataa(b_o_iv_6),
        .datab(a_o_6),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_5),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add6.cin_used="true";
defparam sum_add6.operation_mode="arithmetic";
defparam sum_add6.output_mode="comb_only";
defparam sum_add6.lut_mask="69d4";
defparam sum_add6.synch_mode="off";
defparam sum_add6.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add5 (
        .combout(N_28),
        .cout(sum_carry_5),
        .dataa(b_o_iv_5),
        .datab(a_o_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_4),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add5.cin_used="true";
defparam sum_add5.operation_mode="arithmetic";
defparam sum_add5.output_mode="comb_only";
defparam sum_add5.lut_mask="69d4";
defparam sum_add5.synch_mode="off";
defparam sum_add5.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add4 (
        .combout(N_29),
        .cout(sum_carry_4),
        .dataa(b_o_iv_4),
        .datab(a_o_4),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_3),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add4.cin_used="true";
defparam sum_add4.operation_mode="arithmetic";
defparam sum_add4.output_mode="comb_only";
defparam sum_add4.lut_mask="69d4";
defparam sum_add4.synch_mode="off";
defparam sum_add4.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add3 (
        .combout(N_30),
        .cout(sum_carry_3),
        .dataa(b_o_iv_3),
        .datab(a_o_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add3.cin_used="true";
defparam sum_add3.operation_mode="arithmetic";
defparam sum_add3.output_mode="comb_only";
defparam sum_add3.lut_mask="69d4";
defparam sum_add3.synch_mode="off";
defparam sum_add3.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add2 (
        .combout(N_31),
        .cout(sum_carry_2),
        .dataa(b_o_iv_2),
        .datab(a_o_2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_1),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add2.cin_used="true";
defparam sum_add2.operation_mode="arithmetic";
defparam sum_add2.output_mode="comb_only";
defparam sum_add2.lut_mask="69d4";
defparam sum_add2.synch_mode="off";
defparam sum_add2.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add1 (
        .combout(N_32),
        .cout(sum_carry_1),
        .dataa(b_o_iv_1),
        .datab(a_o_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(sum_carry_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add1.cin_used="true";
defparam sum_add1.operation_mode="arithmetic";
defparam sum_add1.output_mode="comb_only";
defparam sum_add1.lut_mask="69d4";
defparam sum_add1.synch_mode="off";
defparam sum_add1.sum_lutc_input="cin";
// @5:261
  cyclone_lcell sum_add0 (
        .combout(N_33),
        .cout(sum_carry_0),
        .dataa(b_o_iv_0_d0),
        .datab(a_o_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sum_add0.operation_mode="arithmetic";
defparam sum_add0.output_mode="comb_only";
defparam sum_add0.lut_mask="66dd";
defparam sum_add0.synch_mode="off";
defparam sum_add0.sum_lutc_input="datac";
// @5:247
  cyclone_lcell un1_a_add31_cZ (
        .combout(un1_a_add31),
        .dataa(m36),
        .datab(a_o_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_30),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add31_cZ.cin_used="true";
defparam un1_a_add31_cZ.operation_mode="normal";
defparam un1_a_add31_cZ.output_mode="comb_only";
defparam un1_a_add31_cZ.lut_mask="6969";
defparam un1_a_add31_cZ.synch_mode="off";
defparam un1_a_add31_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add30_cZ (
        .combout(un1_a_add30),
        .cout(un1_a_carry_30),
        .dataa(m35),
        .datab(a_o_30),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_29),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add30_cZ.cin_used="true";
defparam un1_a_add30_cZ.operation_mode="arithmetic";
defparam un1_a_add30_cZ.output_mode="comb_only";
defparam un1_a_add30_cZ.lut_mask="69d4";
defparam un1_a_add30_cZ.synch_mode="off";
defparam un1_a_add30_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add29_cZ (
        .combout(un1_a_add29),
        .cout(un1_a_carry_29),
        .dataa(m34),
        .datab(a_o_29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_28),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add29_cZ.cin_used="true";
defparam un1_a_add29_cZ.operation_mode="arithmetic";
defparam un1_a_add29_cZ.output_mode="comb_only";
defparam un1_a_add29_cZ.lut_mask="69d4";
defparam un1_a_add29_cZ.synch_mode="off";
defparam un1_a_add29_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add28_cZ (
        .combout(un1_a_add28),
        .cout(un1_a_carry_28),
        .dataa(m33),
        .datab(a_o_28),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_27),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add28_cZ.cin_used="true";
defparam un1_a_add28_cZ.operation_mode="arithmetic";
defparam un1_a_add28_cZ.output_mode="comb_only";
defparam un1_a_add28_cZ.lut_mask="69d4";
defparam un1_a_add28_cZ.synch_mode="off";
defparam un1_a_add28_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add27_cZ (
        .combout(un1_a_add27),
        .cout(un1_a_carry_27),
        .dataa(m32),
        .datab(a_o_27),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_26),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add27_cZ.cin_used="true";
defparam un1_a_add27_cZ.operation_mode="arithmetic";
defparam un1_a_add27_cZ.output_mode="comb_only";
defparam un1_a_add27_cZ.lut_mask="69d4";
defparam un1_a_add27_cZ.synch_mode="off";
defparam un1_a_add27_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add26_cZ (
        .combout(un1_a_add26),
        .cout(un1_a_carry_26),
        .dataa(m31),
        .datab(a_o_26),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_25),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add26_cZ.cin_used="true";
defparam un1_a_add26_cZ.operation_mode="arithmetic";
defparam un1_a_add26_cZ.output_mode="comb_only";
defparam un1_a_add26_cZ.lut_mask="69d4";
defparam un1_a_add26_cZ.synch_mode="off";
defparam un1_a_add26_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add25_cZ (
        .combout(un1_a_add25),
        .cout(un1_a_carry_25),
        .dataa(m30),
        .datab(a_o_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_24),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add25_cZ.cin_used="true";
defparam un1_a_add25_cZ.operation_mode="arithmetic";
defparam un1_a_add25_cZ.output_mode="comb_only";
defparam un1_a_add25_cZ.lut_mask="69d4";
defparam un1_a_add25_cZ.synch_mode="off";
defparam un1_a_add25_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add24_cZ (
        .combout(un1_a_add24),
        .cout(un1_a_carry_24),
        .dataa(a_o_24),
        .datab(m29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_23),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add24_cZ.cin_used="true";
defparam un1_a_add24_cZ.operation_mode="arithmetic";
defparam un1_a_add24_cZ.output_mode="comb_only";
defparam un1_a_add24_cZ.lut_mask="96e8";
defparam un1_a_add24_cZ.synch_mode="off";
defparam un1_a_add24_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add23_cZ (
        .combout(un1_a_add23),
        .cout(un1_a_carry_23),
        .dataa(m28),
        .datab(a_o_i_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_22),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add23_cZ.cin_used="true";
defparam un1_a_add23_cZ.operation_mode="arithmetic";
defparam un1_a_add23_cZ.output_mode="comb_only";
defparam un1_a_add23_cZ.lut_mask="69b2";
defparam un1_a_add23_cZ.synch_mode="off";
defparam un1_a_add23_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add22_cZ (
        .combout(un1_a_add22),
        .cout(un1_a_carry_22),
        .dataa(a_o_22),
        .datab(m27),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_21),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add22_cZ.cin_used="true";
defparam un1_a_add22_cZ.operation_mode="arithmetic";
defparam un1_a_add22_cZ.output_mode="comb_only";
defparam un1_a_add22_cZ.lut_mask="96e8";
defparam un1_a_add22_cZ.synch_mode="off";
defparam un1_a_add22_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add21_cZ (
        .combout(un1_a_add21),
        .cout(un1_a_carry_21),
        .dataa(m26),
        .datab(a_o_21),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_20),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add21_cZ.cin_used="true";
defparam un1_a_add21_cZ.operation_mode="arithmetic";
defparam un1_a_add21_cZ.output_mode="comb_only";
defparam un1_a_add21_cZ.lut_mask="69d4";
defparam un1_a_add21_cZ.synch_mode="off";
defparam un1_a_add21_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add20_cZ (
        .combout(un1_a_add20),
        .cout(un1_a_carry_20),
        .dataa(m25),
        .datab(a_o_20),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_19),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add20_cZ.cin_used="true";
defparam un1_a_add20_cZ.operation_mode="arithmetic";
defparam un1_a_add20_cZ.output_mode="comb_only";
defparam un1_a_add20_cZ.lut_mask="69d4";
defparam un1_a_add20_cZ.synch_mode="off";
defparam un1_a_add20_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add19_cZ (
        .combout(un1_a_add19),
        .cout(un1_a_carry_19),
        .dataa(m24),
        .datab(a_o_i_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_18),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add19_cZ.cin_used="true";
defparam un1_a_add19_cZ.operation_mode="arithmetic";
defparam un1_a_add19_cZ.output_mode="comb_only";
defparam un1_a_add19_cZ.lut_mask="9671";
defparam un1_a_add19_cZ.synch_mode="off";
defparam un1_a_add19_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add18_cZ (
        .combout(un1_a_add18),
        .cout(un1_a_carry_18),
        .dataa(m23),
        .datab(a_o_18),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_17),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add18_cZ.cin_used="true";
defparam un1_a_add18_cZ.operation_mode="arithmetic";
defparam un1_a_add18_cZ.output_mode="comb_only";
defparam un1_a_add18_cZ.lut_mask="69d4";
defparam un1_a_add18_cZ.synch_mode="off";
defparam un1_a_add18_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add17_cZ (
        .combout(un1_a_add17),
        .cout(un1_a_carry_17),
        .dataa(m22),
        .datab(a_o_17),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_16),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add17_cZ.cin_used="true";
defparam un1_a_add17_cZ.operation_mode="arithmetic";
defparam un1_a_add17_cZ.output_mode="comb_only";
defparam un1_a_add17_cZ.lut_mask="69d4";
defparam un1_a_add17_cZ.synch_mode="off";
defparam un1_a_add17_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add16_cZ (
        .combout(un1_a_add16),
        .cout(un1_a_carry_16),
        .dataa(m21),
        .datab(a_o_i_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_15),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add16_cZ.cin_used="true";
defparam un1_a_add16_cZ.operation_mode="arithmetic";
defparam un1_a_add16_cZ.output_mode="comb_only";
defparam un1_a_add16_cZ.lut_mask="9671";
defparam un1_a_add16_cZ.synch_mode="off";
defparam un1_a_add16_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add15_cZ (
        .combout(un1_a_add15),
        .cout(un1_a_carry_15),
        .dataa(m20),
        .datab(a_o_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_14),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add15_cZ.cin_used="true";
defparam un1_a_add15_cZ.operation_mode="arithmetic";
defparam un1_a_add15_cZ.output_mode="comb_only";
defparam un1_a_add15_cZ.lut_mask="69d4";
defparam un1_a_add15_cZ.synch_mode="off";
defparam un1_a_add15_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add14_cZ (
        .combout(un1_a_add14),
        .cout(un1_a_carry_14),
        .dataa(m19),
        .datab(a_o_14),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_13),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add14_cZ.cin_used="true";
defparam un1_a_add14_cZ.operation_mode="arithmetic";
defparam un1_a_add14_cZ.output_mode="comb_only";
defparam un1_a_add14_cZ.lut_mask="69d4";
defparam un1_a_add14_cZ.synch_mode="off";
defparam un1_a_add14_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add13_cZ (
        .combout(un1_a_add13),
        .cout(un1_a_carry_13),
        .dataa(m18),
        .datab(a_o_13),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_12),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add13_cZ.cin_used="true";
defparam un1_a_add13_cZ.operation_mode="arithmetic";
defparam un1_a_add13_cZ.output_mode="comb_only";
defparam un1_a_add13_cZ.lut_mask="69d4";
defparam un1_a_add13_cZ.synch_mode="off";
defparam un1_a_add13_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add12_cZ (
        .combout(un1_a_add12),
        .cout(un1_a_carry_12),
        .dataa(m17),
        .datab(a_o_12),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_11),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add12_cZ.cin_used="true";
defparam un1_a_add12_cZ.operation_mode="arithmetic";
defparam un1_a_add12_cZ.output_mode="comb_only";
defparam un1_a_add12_cZ.lut_mask="69d4";
defparam un1_a_add12_cZ.synch_mode="off";
defparam un1_a_add12_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add11_cZ (
        .combout(un1_a_add11),
        .cout(un1_a_carry_11),
        .dataa(m16),
        .datab(a_o_11),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_10),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add11_cZ.cin_used="true";
defparam un1_a_add11_cZ.operation_mode="arithmetic";
defparam un1_a_add11_cZ.output_mode="comb_only";
defparam un1_a_add11_cZ.lut_mask="69d4";
defparam un1_a_add11_cZ.synch_mode="off";
defparam un1_a_add11_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add10_cZ (
        .combout(un1_a_add10),
        .cout(un1_a_carry_10),
        .dataa(m15),
        .datab(a_o_10),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_9),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add10_cZ.cin_used="true";
defparam un1_a_add10_cZ.operation_mode="arithmetic";
defparam un1_a_add10_cZ.output_mode="comb_only";
defparam un1_a_add10_cZ.lut_mask="69d4";
defparam un1_a_add10_cZ.synch_mode="off";
defparam un1_a_add10_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add9_cZ (
        .combout(un1_a_add9),
        .cout(un1_a_carry_9),
        .dataa(m14),
        .datab(a_o_9),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_8),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add9_cZ.cin_used="true";
defparam un1_a_add9_cZ.operation_mode="arithmetic";
defparam un1_a_add9_cZ.output_mode="comb_only";
defparam un1_a_add9_cZ.lut_mask="69d4";
defparam un1_a_add9_cZ.synch_mode="off";
defparam un1_a_add9_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add8_cZ (
        .combout(un1_a_add8),
        .cout(un1_a_carry_8),
        .dataa(m13),
        .datab(a_o_8),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_7),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add8_cZ.cin_used="true";
defparam un1_a_add8_cZ.operation_mode="arithmetic";
defparam un1_a_add8_cZ.output_mode="comb_only";
defparam un1_a_add8_cZ.lut_mask="69d4";
defparam un1_a_add8_cZ.synch_mode="off";
defparam un1_a_add8_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add7_cZ (
        .combout(un1_a_add7),
        .cout(un1_a_carry_7),
        .dataa(m12),
        .datab(a_o_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_6),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add7_cZ.cin_used="true";
defparam un1_a_add7_cZ.operation_mode="arithmetic";
defparam un1_a_add7_cZ.output_mode="comb_only";
defparam un1_a_add7_cZ.lut_mask="69d4";
defparam un1_a_add7_cZ.synch_mode="off";
defparam un1_a_add7_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add6_cZ (
        .combout(un1_a_add6),
        .cout(un1_a_carry_6),
        .dataa(m11),
        .datab(a_o_6),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_5),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add6_cZ.cin_used="true";
defparam un1_a_add6_cZ.operation_mode="arithmetic";
defparam un1_a_add6_cZ.output_mode="comb_only";
defparam un1_a_add6_cZ.lut_mask="69d4";
defparam un1_a_add6_cZ.synch_mode="off";
defparam un1_a_add6_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add5_cZ (
        .combout(un1_a_add5),
        .cout(un1_a_carry_5),
        .dataa(m10),
        .datab(a_o_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_4),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add5_cZ.cin_used="true";
defparam un1_a_add5_cZ.operation_mode="arithmetic";
defparam un1_a_add5_cZ.output_mode="comb_only";
defparam un1_a_add5_cZ.lut_mask="69d4";
defparam un1_a_add5_cZ.synch_mode="off";
defparam un1_a_add5_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add4_cZ (
        .combout(un1_a_add4),
        .cout(un1_a_carry_4),
        .dataa(m9),
        .datab(a_o_4),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_3),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add4_cZ.cin_used="true";
defparam un1_a_add4_cZ.operation_mode="arithmetic";
defparam un1_a_add4_cZ.output_mode="comb_only";
defparam un1_a_add4_cZ.lut_mask="69d4";
defparam un1_a_add4_cZ.synch_mode="off";
defparam un1_a_add4_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add3_cZ (
        .combout(un1_a_add3),
        .cout(un1_a_carry_3),
        .dataa(m8),
        .datab(a_o_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add3_cZ.cin_used="true";
defparam un1_a_add3_cZ.operation_mode="arithmetic";
defparam un1_a_add3_cZ.output_mode="comb_only";
defparam un1_a_add3_cZ.lut_mask="69d4";
defparam un1_a_add3_cZ.synch_mode="off";
defparam un1_a_add3_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add2_cZ (
        .combout(un1_a_add2),
        .cout(un1_a_carry_2),
        .dataa(m7),
        .datab(a_o_2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_1),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add2_cZ.cin_used="true";
defparam un1_a_add2_cZ.operation_mode="arithmetic";
defparam un1_a_add2_cZ.output_mode="comb_only";
defparam un1_a_add2_cZ.lut_mask="69d4";
defparam un1_a_add2_cZ.synch_mode="off";
defparam un1_a_add2_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add1_cZ (
        .combout(un1_a_add1),
        .cout(un1_a_carry_1),
        .dataa(m6),
        .datab(a_o_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_carry_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add1_cZ.cin_used="true";
defparam un1_a_add1_cZ.operation_mode="arithmetic";
defparam un1_a_add1_cZ.output_mode="comb_only";
defparam un1_a_add1_cZ.lut_mask="69d4";
defparam un1_a_add1_cZ.synch_mode="off";
defparam un1_a_add1_cZ.sum_lutc_input="cin";
// @5:247
  cyclone_lcell un1_a_add0_cZ (
        .combout(un1_a_add0),
        .cout(un1_a_carry_0),
        .dataa(m5),
        .datab(a_o_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(un1_a_add0_start_cout),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_a_add0_cZ.cin_used="true";
defparam un1_a_add0_cZ.operation_mode="arithmetic";
defparam un1_a_add0_cZ.output_mode="comb_only";
defparam un1_a_add0_cZ.lut_mask="964d";
defparam un1_a_add0_cZ.synch_mode="off";
defparam un1_a_add0_cZ.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt31 (
        .combout(lt31),
        .cout(lt31_cout),
        .dataa(a_o_31),
        .datab(b_o_iv_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_30),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt31.cin_used="true";
defparam un19_alu_out_lt31.operation_mode="arithmetic";
defparam un19_alu_out_lt31.output_mode="comb_only";
defparam un19_alu_out_lt31.lut_mask="d4d4";
defparam un19_alu_out_lt31.synch_mode="off";
defparam un19_alu_out_lt31.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt30 (
        .combout(N_34),
        .cout(lt_30),
        .dataa(a_o_30),
        .datab(b_o_iv_30),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_29),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt30.cin_used="true";
defparam un19_alu_out_lt30.operation_mode="arithmetic";
defparam un19_alu_out_lt30.output_mode="comb_only";
defparam un19_alu_out_lt30.lut_mask="00d4";
defparam un19_alu_out_lt30.synch_mode="off";
defparam un19_alu_out_lt30.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt29 (
        .combout(N_35),
        .cout(lt_29),
        .dataa(a_o_29),
        .datab(b_o_iv_29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_28),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt29.cin_used="true";
defparam un19_alu_out_lt29.operation_mode="arithmetic";
defparam un19_alu_out_lt29.output_mode="comb_only";
defparam un19_alu_out_lt29.lut_mask="00d4";
defparam un19_alu_out_lt29.synch_mode="off";
defparam un19_alu_out_lt29.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt28 (
        .combout(N_36),
        .cout(lt_28),
        .dataa(a_o_28),
        .datab(b_o_iv_0_16),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_27),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt28.cin_used="true";
defparam un19_alu_out_lt28.operation_mode="arithmetic";
defparam un19_alu_out_lt28.output_mode="comb_only";
defparam un19_alu_out_lt28.lut_mask="00d4";
defparam un19_alu_out_lt28.synch_mode="off";
defparam un19_alu_out_lt28.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt27 (
        .combout(N_37),
        .cout(lt_27),
        .dataa(a_o_27),
        .datab(b_o_iv_0_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_26),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt27.cin_used="true";
defparam un19_alu_out_lt27.operation_mode="arithmetic";
defparam un19_alu_out_lt27.output_mode="comb_only";
defparam un19_alu_out_lt27.lut_mask="00d4";
defparam un19_alu_out_lt27.synch_mode="off";
defparam un19_alu_out_lt27.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt26 (
        .combout(N_38),
        .cout(lt_26),
        .dataa(a_o_26),
        .datab(b_o_iv_26),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_25),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt26.cin_used="true";
defparam un19_alu_out_lt26.operation_mode="arithmetic";
defparam un19_alu_out_lt26.output_mode="comb_only";
defparam un19_alu_out_lt26.lut_mask="00d4";
defparam un19_alu_out_lt26.synch_mode="off";
defparam un19_alu_out_lt26.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt25 (
        .combout(N_39),
        .cout(lt_25),
        .dataa(a_o_25),
        .datab(b_o_iv_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_24),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt25.cin_used="true";
defparam un19_alu_out_lt25.operation_mode="arithmetic";
defparam un19_alu_out_lt25.output_mode="comb_only";
defparam un19_alu_out_lt25.lut_mask="00d4";
defparam un19_alu_out_lt25.synch_mode="off";
defparam un19_alu_out_lt25.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt24 (
        .combout(N_40),
        .cout(lt_24),
        .dataa(a_o_24),
        .datab(b_o_iv_24),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_23),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt24.cin_used="true";
defparam un19_alu_out_lt24.operation_mode="arithmetic";
defparam un19_alu_out_lt24.output_mode="comb_only";
defparam un19_alu_out_lt24.lut_mask="00d4";
defparam un19_alu_out_lt24.synch_mode="off";
defparam un19_alu_out_lt24.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt23 (
        .combout(N_41),
        .cout(lt_23),
        .dataa(b_o_iv_23),
        .datab(a_o_i_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_22),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt23.cin_used="true";
defparam un19_alu_out_lt23.operation_mode="arithmetic";
defparam un19_alu_out_lt23.output_mode="comb_only";
defparam un19_alu_out_lt23.lut_mask="00e8";
defparam un19_alu_out_lt23.synch_mode="off";
defparam un19_alu_out_lt23.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt22 (
        .combout(N_42),
        .cout(lt_22),
        .dataa(a_o_22),
        .datab(b_o_iv_22),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_21),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt22.cin_used="true";
defparam un19_alu_out_lt22.operation_mode="arithmetic";
defparam un19_alu_out_lt22.output_mode="comb_only";
defparam un19_alu_out_lt22.lut_mask="00d4";
defparam un19_alu_out_lt22.synch_mode="off";
defparam un19_alu_out_lt22.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt21 (
        .combout(N_43),
        .cout(lt_21),
        .dataa(a_o_21),
        .datab(b_o_iv_21),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_20),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt21.cin_used="true";
defparam un19_alu_out_lt21.operation_mode="arithmetic";
defparam un19_alu_out_lt21.output_mode="comb_only";
defparam un19_alu_out_lt21.lut_mask="00d4";
defparam un19_alu_out_lt21.synch_mode="off";
defparam un19_alu_out_lt21.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt20 (
        .combout(N_44),
        .cout(lt_20),
        .dataa(a_o_20),
        .datab(b_o_iv_20),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_19),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt20.cin_used="true";
defparam un19_alu_out_lt20.operation_mode="arithmetic";
defparam un19_alu_out_lt20.output_mode="comb_only";
defparam un19_alu_out_lt20.lut_mask="00d4";
defparam un19_alu_out_lt20.synch_mode="off";
defparam un19_alu_out_lt20.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt19 (
        .combout(N_45),
        .cout(lt_19),
        .dataa(b_o_iv_19),
        .datab(a_o_i_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_18),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt19.cin_used="true";
defparam un19_alu_out_lt19.operation_mode="arithmetic";
defparam un19_alu_out_lt19.output_mode="comb_only";
defparam un19_alu_out_lt19.lut_mask="00e8";
defparam un19_alu_out_lt19.synch_mode="off";
defparam un19_alu_out_lt19.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt18 (
        .combout(N_46),
        .cout(lt_18),
        .dataa(a_o_18),
        .datab(b_o_iv_18),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_17),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt18.cin_used="true";
defparam un19_alu_out_lt18.operation_mode="arithmetic";
defparam un19_alu_out_lt18.output_mode="comb_only";
defparam un19_alu_out_lt18.lut_mask="00d4";
defparam un19_alu_out_lt18.synch_mode="off";
defparam un19_alu_out_lt18.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt17 (
        .combout(N_47),
        .cout(lt_17),
        .dataa(a_o_17),
        .datab(b_o_iv_17),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_16),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt17.cin_used="true";
defparam un19_alu_out_lt17.operation_mode="arithmetic";
defparam un19_alu_out_lt17.output_mode="comb_only";
defparam un19_alu_out_lt17.lut_mask="00d4";
defparam un19_alu_out_lt17.synch_mode="off";
defparam un19_alu_out_lt17.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt16 (
        .combout(N_48),
        .cout(lt_16),
        .dataa(b_o_iv_16),
        .datab(a_o_i_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_15),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt16.cin_used="true";
defparam un19_alu_out_lt16.operation_mode="arithmetic";
defparam un19_alu_out_lt16.output_mode="comb_only";
defparam un19_alu_out_lt16.lut_mask="00e8";
defparam un19_alu_out_lt16.synch_mode="off";
defparam un19_alu_out_lt16.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt15 (
        .combout(N_49),
        .cout(lt_15),
        .dataa(a_o_15),
        .datab(b_o_iv_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_14),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt15.cin_used="true";
defparam un19_alu_out_lt15.operation_mode="arithmetic";
defparam un19_alu_out_lt15.output_mode="comb_only";
defparam un19_alu_out_lt15.lut_mask="00d4";
defparam un19_alu_out_lt15.synch_mode="off";
defparam un19_alu_out_lt15.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt14 (
        .combout(N_50),
        .cout(lt_14),
        .dataa(a_o_14),
        .datab(b_o_iv_14),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_13),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt14.cin_used="true";
defparam un19_alu_out_lt14.operation_mode="arithmetic";
defparam un19_alu_out_lt14.output_mode="comb_only";
defparam un19_alu_out_lt14.lut_mask="00d4";
defparam un19_alu_out_lt14.synch_mode="off";
defparam un19_alu_out_lt14.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt13 (
        .combout(N_51),
        .cout(lt_13),
        .dataa(a_o_13),
        .datab(b_o_iv_13),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_12),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt13.cin_used="true";
defparam un19_alu_out_lt13.operation_mode="arithmetic";
defparam un19_alu_out_lt13.output_mode="comb_only";
defparam un19_alu_out_lt13.lut_mask="00d4";
defparam un19_alu_out_lt13.synch_mode="off";
defparam un19_alu_out_lt13.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt12 (
        .combout(N_52),
        .cout(lt_12),
        .dataa(a_o_12),
        .datab(b_o_iv_0_0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_11),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt12.cin_used="true";
defparam un19_alu_out_lt12.operation_mode="arithmetic";
defparam un19_alu_out_lt12.output_mode="comb_only";
defparam un19_alu_out_lt12.lut_mask="00d4";
defparam un19_alu_out_lt12.synch_mode="off";
defparam un19_alu_out_lt12.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt11 (
        .combout(N_53),
        .cout(lt_11),
        .dataa(a_o_11),
        .datab(b_o_iv_11),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_10),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt11.cin_used="true";
defparam un19_alu_out_lt11.operation_mode="arithmetic";
defparam un19_alu_out_lt11.output_mode="comb_only";
defparam un19_alu_out_lt11.lut_mask="00d4";
defparam un19_alu_out_lt11.synch_mode="off";
defparam un19_alu_out_lt11.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt10 (
        .combout(N_54),
        .cout(lt_10),
        .dataa(a_o_10),
        .datab(b_o_iv_10),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_9),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt10.cin_used="true";
defparam un19_alu_out_lt10.operation_mode="arithmetic";
defparam un19_alu_out_lt10.output_mode="comb_only";
defparam un19_alu_out_lt10.lut_mask="00d4";
defparam un19_alu_out_lt10.synch_mode="off";
defparam un19_alu_out_lt10.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt9 (
        .combout(N_55),
        .cout(lt_9),
        .dataa(a_o_9),
        .datab(b_o_iv_9),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_8),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt9.cin_used="true";
defparam un19_alu_out_lt9.operation_mode="arithmetic";
defparam un19_alu_out_lt9.output_mode="comb_only";
defparam un19_alu_out_lt9.lut_mask="00d4";
defparam un19_alu_out_lt9.synch_mode="off";
defparam un19_alu_out_lt9.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt8 (
        .combout(N_56),
        .cout(lt_8),
        .dataa(a_o_8),
        .datab(b_o_iv_8),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_7),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt8.cin_used="true";
defparam un19_alu_out_lt8.operation_mode="arithmetic";
defparam un19_alu_out_lt8.output_mode="comb_only";
defparam un19_alu_out_lt8.lut_mask="00d4";
defparam un19_alu_out_lt8.synch_mode="off";
defparam un19_alu_out_lt8.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt7 (
        .combout(N_57),
        .cout(lt_7),
        .dataa(a_o_7),
        .datab(b_o_iv_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_6),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt7.cin_used="true";
defparam un19_alu_out_lt7.operation_mode="arithmetic";
defparam un19_alu_out_lt7.output_mode="comb_only";
defparam un19_alu_out_lt7.lut_mask="00d4";
defparam un19_alu_out_lt7.synch_mode="off";
defparam un19_alu_out_lt7.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt6 (
        .combout(N_58),
        .cout(lt_6),
        .dataa(a_o_6),
        .datab(b_o_iv_6),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_5),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt6.cin_used="true";
defparam un19_alu_out_lt6.operation_mode="arithmetic";
defparam un19_alu_out_lt6.output_mode="comb_only";
defparam un19_alu_out_lt6.lut_mask="00d4";
defparam un19_alu_out_lt6.synch_mode="off";
defparam un19_alu_out_lt6.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt5 (
        .combout(N_59),
        .cout(lt_5),
        .dataa(a_o_5),
        .datab(b_o_iv_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_4),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt5.cin_used="true";
defparam un19_alu_out_lt5.operation_mode="arithmetic";
defparam un19_alu_out_lt5.output_mode="comb_only";
defparam un19_alu_out_lt5.lut_mask="00d4";
defparam un19_alu_out_lt5.synch_mode="off";
defparam un19_alu_out_lt5.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt4 (
        .combout(N_60),
        .cout(lt_4),
        .dataa(a_o_4),
        .datab(b_o_iv_4),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_3),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt4.cin_used="true";
defparam un19_alu_out_lt4.operation_mode="arithmetic";
defparam un19_alu_out_lt4.output_mode="comb_only";
defparam un19_alu_out_lt4.lut_mask="00d4";
defparam un19_alu_out_lt4.synch_mode="off";
defparam un19_alu_out_lt4.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt3 (
        .combout(N_61),
        .cout(lt_3),
        .dataa(a_o_3),
        .datab(b_o_iv_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt3.cin_used="true";
defparam un19_alu_out_lt3.operation_mode="arithmetic";
defparam un19_alu_out_lt3.output_mode="comb_only";
defparam un19_alu_out_lt3.lut_mask="00d4";
defparam un19_alu_out_lt3.synch_mode="off";
defparam un19_alu_out_lt3.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt2 (
        .combout(N_62),
        .cout(lt_2),
        .dataa(a_o_2),
        .datab(b_o_iv_2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_1),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt2.cin_used="true";
defparam un19_alu_out_lt2.operation_mode="arithmetic";
defparam un19_alu_out_lt2.output_mode="comb_only";
defparam un19_alu_out_lt2.lut_mask="00d4";
defparam un19_alu_out_lt2.synch_mode="off";
defparam un19_alu_out_lt2.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt1 (
        .combout(N_63),
        .cout(lt_1),
        .dataa(a_o_1),
        .datab(b_o_iv_1),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(lt_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt1.cin_used="true";
defparam un19_alu_out_lt1.operation_mode="arithmetic";
defparam un19_alu_out_lt1.output_mode="comb_only";
defparam un19_alu_out_lt1.lut_mask="00d4";
defparam un19_alu_out_lt1.synch_mode="off";
defparam un19_alu_out_lt1.sum_lutc_input="cin";
// @5:258
  cyclone_lcell un19_alu_out_lt0 (
        .combout(N_64),
        .cout(lt_0),
        .dataa(a_o_0),
        .datab(b_o_iv_0_d0),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un19_alu_out_lt0.operation_mode="arithmetic";
defparam un19_alu_out_lt0.output_mode="comb_only";
defparam un19_alu_out_lt0.lut_mask="0044";
defparam un19_alu_out_lt0.synch_mode="off";
defparam un19_alu_out_lt0.sum_lutc_input="datac";
endmodule /* alu */

// VQM4.1+ 
module shifter_tak (
  alu_func_o_2,
  alu_func_o_0,
  alu_func_o_1,
  alu_func_o_4,
  alu_func_o_3,
  shift_out_89_0_0,
  shift_out_88_22,
  shift_out_88_19,
  shift_out_90_1_0_1,
  shift_out_87_24,
  shift_out_85_2,
  shift_out_86_u_0,
  shift_out_90_2_0,
  shift_out_86_2_0_4,
  shift_out_86_2_0_0,
  shift_out_89_2_0_17,
  shift_out_89_2_0_16,
  shift_out_89_2_0_15,
  shift_out_89_2_0_14,
  shift_out_89_4_0_2,
  shift_out_89_4_0_9,
  shift_out_89_4_0_8,
  shift_out_89_4_0_7,
  shift_out_89_4_0_5,
  shift_out_89_4_0_4,
  shift_out_89_4_0_3,
  shift_out_89_4_0_1,
  shift_out_89_4_0_0,
  shift_out_89_4_0_13,
  shift_out_89_4_0_12,
  shift_out_89_4_0_11,
  shift_out_89_4_0_10,
  shift_out_89_4_0_6,
  shift_out_91_0,
  shift_out_91_11,
  shift_out_91_9,
  shift_out_91_7,
  shift_out_91_6,
  shift_out_91_5,
  shift_out_91_4,
  shift_out_91_2,
  shift_out_89_30,
  shift_out_89_29,
  shift_out_89_0_d0,
  shift_out_89_3,
  shift_out_89_2,
  shift_out_89_28,
  shift_out_89_27,
  shift_out_89_25,
  shift_out_89_24,
  shift_out_89_5,
  shift_out_89_4,
  shift_out_86_2,
  shift_out_86_4,
  shift_out_86_5,
  shift_out_86_3,
  shift_out_86_0,
  shift_out_90_u_11,
  shift_out_90_u_2,
  shift_out_90_u_14,
  shift_out_90_u_0,
  shift_out_92_d_0,
  shift_out_92_d_3,
  shift_out_92_2_0_d_0,
  shift_out_92_2_0_d_4,
  shift_out_36_0,
  shift_out_92_2,
  shift_out_92_0,
  shift_out_92_25,
  shift_out_92_21,
  shift_out_92_20,
  shift_out_92_18,
  shift_out_92_14,
  shift_out_92_9,
  shift_out_92_12,
  shift_out_92_17,
  shift_out_92_26,
  shift_out_92_24,
  shift_out_92_23,
  shift_out_92_15,
  shift_out_92_2_0_2,
  shift_out_92_2_0_1,
  shift_out_92_2_0_0,
  shift_out_92_2_0_3,
  shift_out_89_1_0,
  shift_out_89_1_30,
  b_o_iv_23,
  b_o_iv_1,
  b_o_iv_7,
  b_o_iv_13,
  b_o_iv_9,
  b_o_iv_5,
  b_o_iv_20,
  b_o_iv_18,
  b_o_iv_17,
  b_o_iv_16,
  b_o_iv_11,
  b_o_iv_10,
  b_o_iv_8,
  b_o_iv_6,
  b_o_iv_2,
  b_o_iv_4,
  b_o_iv_3,
  b_o_iv_14,
  b_o_iv_0_d0,
  b_o_iv_26,
  b_o_iv_22,
  b_o_iv_21,
  b_o_iv_19,
  b_o_iv_15,
  b_o_iv_25,
  b_o_iv_24,
  b_o_iv_31,
  b_o_iv_30,
  b_o_iv_29,
  b_o_iv_0_0,
  b_o_iv_0_15,
  b_o_iv_0_16,
  a_o_0,
  a_o_4,
  a_o_3,
  a_o_1,
  a_o_2,
  m4_1,
  m4_0,
  shift_out_sn_m31_i,
  shift_out586,
  shift_out_sn_m25_0,
  shift_out_sn_m17_0
);
input alu_func_o_2 ;
input alu_func_o_0 ;
input alu_func_o_1 ;
input alu_func_o_4 ;
input alu_func_o_3 ;
output shift_out_89_0_0 ;
output shift_out_88_22 ;
output shift_out_88_19 ;
output shift_out_90_1_0_1 ;
output shift_out_87_24 ;
output shift_out_85_2 ;
output shift_out_86_u_0 ;
output shift_out_90_2_0 ;
output shift_out_86_2_0_4 ;
output shift_out_86_2_0_0 ;
output shift_out_89_2_0_17 ;
output shift_out_89_2_0_16 ;
output shift_out_89_2_0_15 ;
output shift_out_89_2_0_14 ;
output shift_out_89_4_0_2 ;
output shift_out_89_4_0_9 ;
output shift_out_89_4_0_8 ;
output shift_out_89_4_0_7 ;
output shift_out_89_4_0_5 ;
output shift_out_89_4_0_4 ;
output shift_out_89_4_0_3 ;
output shift_out_89_4_0_1 ;
output shift_out_89_4_0_0 ;
output shift_out_89_4_0_13 ;
output shift_out_89_4_0_12 ;
output shift_out_89_4_0_11 ;
output shift_out_89_4_0_10 ;
output shift_out_89_4_0_6 ;
output shift_out_91_0 ;
output shift_out_91_11 ;
output shift_out_91_9 ;
output shift_out_91_7 ;
output shift_out_91_6 ;
output shift_out_91_5 ;
output shift_out_91_4 ;
output shift_out_91_2 ;
output shift_out_89_30 ;
output shift_out_89_29 ;
output shift_out_89_0_d0 ;
output shift_out_89_3 ;
output shift_out_89_2 ;
output shift_out_89_28 ;
output shift_out_89_27 ;
output shift_out_89_25 ;
output shift_out_89_24 ;
output shift_out_89_5 ;
output shift_out_89_4 ;
output shift_out_86_2 ;
output shift_out_86_4 ;
output shift_out_86_5 ;
output shift_out_86_3 ;
output shift_out_86_0 ;
output shift_out_90_u_11 ;
output shift_out_90_u_2 ;
output shift_out_90_u_14 ;
output shift_out_90_u_0 ;
output shift_out_92_d_0 ;
output shift_out_92_d_3 ;
output shift_out_92_2_0_d_0 ;
output shift_out_92_2_0_d_4 ;
output shift_out_36_0 ;
output shift_out_92_2 ;
output shift_out_92_0 ;
output shift_out_92_25 ;
output shift_out_92_21 ;
output shift_out_92_20 ;
output shift_out_92_18 ;
output shift_out_92_14 ;
output shift_out_92_9 ;
output shift_out_92_12 ;
output shift_out_92_17 ;
output shift_out_92_26 ;
output shift_out_92_24 ;
output shift_out_92_23 ;
output shift_out_92_15 ;
output shift_out_92_2_0_2 ;
output shift_out_92_2_0_1 ;
output shift_out_92_2_0_0 ;
output shift_out_92_2_0_3 ;
output shift_out_89_1_0 ;
output shift_out_89_1_30 ;
input b_o_iv_23 ;
input b_o_iv_1 ;
input b_o_iv_7 ;
input b_o_iv_13 ;
input b_o_iv_9 ;
input b_o_iv_5 ;
input b_o_iv_20 ;
input b_o_iv_18 ;
input b_o_iv_17 ;
input b_o_iv_16 ;
input b_o_iv_11 ;
input b_o_iv_10 ;
input b_o_iv_8 ;
input b_o_iv_6 ;
input b_o_iv_2 ;
input b_o_iv_4 ;
input b_o_iv_3 ;
input b_o_iv_14 ;
input b_o_iv_0_d0 ;
input b_o_iv_26 ;
input b_o_iv_22 ;
input b_o_iv_21 ;
input b_o_iv_19 ;
input b_o_iv_15 ;
input b_o_iv_25 ;
input b_o_iv_24 ;
input b_o_iv_31 ;
input b_o_iv_30 ;
input b_o_iv_29 ;
input b_o_iv_0_0 ;
input b_o_iv_0_15 ;
input b_o_iv_0_16 ;
input a_o_0 ;
input a_o_4 ;
input a_o_3 ;
input a_o_1 ;
input a_o_2 ;
input m4_1 ;
input m4_0 ;
output shift_out_sn_m31_i ;
output shift_out586 ;
output shift_out_sn_m25_0 ;
output shift_out_sn_m17_0 ;
wire alu_func_o_2 ;
wire alu_func_o_0 ;
wire alu_func_o_1 ;
wire alu_func_o_4 ;
wire alu_func_o_3 ;
wire shift_out_89_0_0 ;
wire shift_out_88_22 ;
wire shift_out_88_19 ;
wire shift_out_90_1_0_1 ;
wire shift_out_87_24 ;
wire shift_out_85_2 ;
wire shift_out_86_u_0 ;
wire shift_out_90_2_0 ;
wire shift_out_86_2_0_4 ;
wire shift_out_86_2_0_0 ;
wire shift_out_89_2_0_17 ;
wire shift_out_89_2_0_16 ;
wire shift_out_89_2_0_15 ;
wire shift_out_89_2_0_14 ;
wire shift_out_89_4_0_2 ;
wire shift_out_89_4_0_9 ;
wire shift_out_89_4_0_8 ;
wire shift_out_89_4_0_7 ;
wire shift_out_89_4_0_5 ;
wire shift_out_89_4_0_4 ;
wire shift_out_89_4_0_3 ;
wire shift_out_89_4_0_1 ;
wire shift_out_89_4_0_0 ;
wire shift_out_89_4_0_13 ;
wire shift_out_89_4_0_12 ;
wire shift_out_89_4_0_11 ;
wire shift_out_89_4_0_10 ;
wire shift_out_89_4_0_6 ;
wire shift_out_91_0 ;
wire shift_out_91_11 ;
wire shift_out_91_9 ;
wire shift_out_91_7 ;
wire shift_out_91_6 ;
wire shift_out_91_5 ;
wire shift_out_91_4 ;
wire shift_out_91_2 ;
wire shift_out_89_30 ;
wire shift_out_89_29 ;
wire shift_out_89_0_d0 ;
wire shift_out_89_3 ;
wire shift_out_89_2 ;
wire shift_out_89_28 ;
wire shift_out_89_27 ;
wire shift_out_89_25 ;
wire shift_out_89_24 ;
wire shift_out_89_5 ;
wire shift_out_89_4 ;
wire shift_out_86_2 ;
wire shift_out_86_4 ;
wire shift_out_86_5 ;
wire shift_out_86_3 ;
wire shift_out_86_0 ;
wire shift_out_90_u_11 ;
wire shift_out_90_u_2 ;
wire shift_out_90_u_14 ;
wire shift_out_90_u_0 ;
wire shift_out_92_d_0 ;
wire shift_out_92_d_3 ;
wire shift_out_92_2_0_d_0 ;
wire shift_out_92_2_0_d_4 ;
wire shift_out_36_0 ;
wire shift_out_92_2 ;
wire shift_out_92_0 ;
wire shift_out_92_25 ;
wire shift_out_92_21 ;
wire shift_out_92_20 ;
wire shift_out_92_18 ;
wire shift_out_92_14 ;
wire shift_out_92_9 ;
wire shift_out_92_12 ;
wire shift_out_92_17 ;
wire shift_out_92_26 ;
wire shift_out_92_24 ;
wire shift_out_92_23 ;
wire shift_out_92_15 ;
wire shift_out_92_2_0_2 ;
wire shift_out_92_2_0_1 ;
wire shift_out_92_2_0_0 ;
wire shift_out_92_2_0_3 ;
wire shift_out_89_1_0 ;
wire shift_out_89_1_30 ;
wire b_o_iv_23 ;
wire b_o_iv_1 ;
wire b_o_iv_7 ;
wire b_o_iv_13 ;
wire b_o_iv_9 ;
wire b_o_iv_5 ;
wire b_o_iv_20 ;
wire b_o_iv_18 ;
wire b_o_iv_17 ;
wire b_o_iv_16 ;
wire b_o_iv_11 ;
wire b_o_iv_10 ;
wire b_o_iv_8 ;
wire b_o_iv_6 ;
wire b_o_iv_2 ;
wire b_o_iv_4 ;
wire b_o_iv_3 ;
wire b_o_iv_14 ;
wire b_o_iv_0_d0 ;
wire b_o_iv_26 ;
wire b_o_iv_22 ;
wire b_o_iv_21 ;
wire b_o_iv_19 ;
wire b_o_iv_15 ;
wire b_o_iv_25 ;
wire b_o_iv_24 ;
wire b_o_iv_31 ;
wire b_o_iv_30 ;
wire b_o_iv_29 ;
wire b_o_iv_0_0 ;
wire b_o_iv_0_15 ;
wire b_o_iv_0_16 ;
wire a_o_0 ;
wire a_o_4 ;
wire a_o_3 ;
wire a_o_1 ;
wire a_o_2 ;
wire m4_1 ;
wire m4_0 ;
wire shift_out_sn_m31_i ;
wire shift_out586 ;
wire shift_out_sn_m25_0 ;
wire shift_out_sn_m17_0 ;
wire [30:24] shift_out_85;
wire [31:5] shift_out_68;
wire [30:29] shift_out_85_c;
wire [31:31] shift_out_89_1_c;
wire [14:2] shift_out_74;
wire [3:1] shift_out_47;
wire [4:3] shift_out_74_c;
wire [3:1] shift_out_50;
wire [3:1] shift_out_44;
wire [22:0] shift_out_79;
wire [1:0] shift_out_41;
wire [31:1] shift_out_88;
wire [31:28] shift_out_92_2_0_a;
wire [31:28] shift_out_84_2_0;
wire [27:9] shift_out_90_1;
wire [27:1] shift_out_92_a;
wire [26:10] shift_out_90_0;
wire [27:15] shift_out_90_u;
wire [31:10] shift_out_77;
wire [13:13] shift_out_90_1_0;
wire [10:8] shift_out_91;
wire [26:24] shift_out_90_3;
wire [27:9] shift_out_90_u_a;
wire [24:24] shift_out_90_3_s;
wire [31:28] shift_out_45;
wire [27:25] shift_out_90_3_d;
wire [1:1] shift_out_90;
wire [3:0] shift_out_76;
wire [26:24] shift_out_90_3_a;
wire [31:28] shift_out_48;
wire [24:24] shift_out_90_2;
wire [12:8] shift_out_92_2_0_d_a;
wire [20:17] shift_out_63_a;
wire [17:14] shift_out_92_d_a;
wire [29:17] shift_out_63;
wire [19:3] shift_out_86;
wire [19:2] shift_out_86_a;
wire [21:20] shift_out_75;
wire [31:30] shift_out_52;
wire [23:15] shift_out_90_0_a;
wire [31:30] shift_out_84_1_0;
wire [1:1] shift_out_90_a;
wire [8:1] shift_out_86_1_0;
wire [31:31] shift_out_84_1_0_s;
wire [31:30] shift_out_84_1_0_a;
wire [27:25] shift_out_90_3_d_a;
wire [7:7] shift_out_74_2_0;
wire [30:2] shift_out_89_a;
wire [28:4] shift_out_85_d;
wire [29:2] shift_out_87;
wire [8:8] shift_out_89_2_0_s;
wire [28:4] shift_out_87_d;
wire [11:0] shift_out_91_a;
wire [3:1] shift_out_42;
wire [18:10] shift_out_64;
wire [19:6] shift_out_89_4_0_a;
wire [19:6] shift_out_89_3_0_d;
wire [19:6] shift_out_89_2_0;
wire [23:6] shift_out_89_2_0_a;
wire [23:20] shift_out_89_1_0_d;
wire [12:8] shift_out_86_2_0_a;
wire [29:28] shift_out_84_2_0_a;
wire [27:9] shift_out_90_1_a;
wire [13:6] shift_out_61;
wire [31:30] shift_out_43;
wire [17:17] shift_out_90_2_a;
wire [18:14] shift_out_83;
wire [0:0] shift_out_86_u_a;
wire [0:0] shift_out_86_1;
wire [3:1] shift_out_73;
wire [1:1] shift_out_89_1_a;
wire [19:7] shift_out_89_2_0_d;
wire [2:1] shift_out_71;
wire [27:0] shift_out_80;
wire [25:25] shift_out_85_s;
wire [28:24] shift_out_85_a;
wire [29:5] shift_out_87_a;
wire [31:10] shift_out_77_a;
wire [31:31] shift_out_77_s;
wire [31:31] shift_out_77_d;
wire [27:24] shift_out_90_1_d;
wire [14:2] shift_out_74_a;
wire [3:0] shift_out_76_a;
wire [18:14] shift_out_83_a;
wire [3:3] shift_out_53;
wire [3:0] shift_out_82;
wire [26:3] shift_out_81;
wire [21:20] shift_out_75_a;
wire [7:7] shift_out_74_2_0_a;
wire [8:1] shift_out_86_1_0_a;
wire [13:13] shift_out_90_1_0_a;
wire [0:0] shift_out_86_1_a;
wire [18:8] shift_out_89_3_0_d_a;
wire [19:7] shift_out_89_2_0_d_a;
wire [31:28] shift_out_54;
wire [28:4] shift_out_87_d_a;
wire [28:4] shift_out_85_d_a;
wire [23:1] shift_out_88_a;
wire [18:18] shift_out_64_a;
wire [3:0] shift_out_82_a;
wire [1:1] shift_out_89_0_a;
wire [1:0] shift_out_41_a;
wire [31:30] shift_out_43_a;
wire [3:1] shift_out_44_a;
wire [31:28] shift_out_45_a;
wire [3:1] shift_out_47_a;
wire [31:28] shift_out_48_a;
wire [3:1] shift_out_50_a;
wire [31:30] shift_out_52_a;
wire [3:3] shift_out_53_a;
wire [31:28] shift_out_54_a;
wire [21:0] shift_out_79_a;
wire [26:0] shift_out_80_a;
wire shift_out587 ;
wire shift_out_90_sn_m3 ;
wire shift_out_sn_b10_0 ;
wire shift_out_90_sn_m1_3 ;
wire shift_out_90_sn_m3_0 ;
wire shift_out_sn_m25_0_a ;
wire shift_out_sn_m17_0_a2 ;
wire shift_out_sn_m25_0_a5_0 ;
wire shift_out588 ;
wire shift_out_sn_m25_0_a5_1 ;
wire shift_out_sn_m7_i ;
wire shift_out_sn_m31_i_a ;
wire shift_out587_2 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
  cyclone_lcell shift_out_85_29_ (
        .combout(shift_out_85[29]),
        .dataa(a_o_2),
        .datab(shift_out_68[27]),
        .datac(shift_out_68[25]),
        .datad(shift_out_85_c[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_29_.operation_mode="normal";
defparam shift_out_85_29_.output_mode="comb_only";
defparam shift_out_85_29_.lut_mask="f588";
defparam shift_out_85_29_.synch_mode="off";
defparam shift_out_85_29_.sum_lutc_input="datac";
  cyclone_lcell shift_out_85_c_29_ (
        .combout(shift_out_85_c[29]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_0_16),
        .datad(shift_out_68[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_c_29_.operation_mode="normal";
defparam shift_out_85_c_29_.output_mode="comb_only";
defparam shift_out_85_c_29_.lut_mask="dc98";
defparam shift_out_85_c_29_.synch_mode="off";
defparam shift_out_85_c_29_.sum_lutc_input="datac";
  cyclone_lcell shift_out_85_30_ (
        .combout(shift_out_85[30]),
        .dataa(a_o_2),
        .datab(shift_out_68[28]),
        .datac(shift_out_68[26]),
        .datad(shift_out_85_c[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_30_.operation_mode="normal";
defparam shift_out_85_30_.output_mode="comb_only";
defparam shift_out_85_30_.lut_mask="f588";
defparam shift_out_85_30_.synch_mode="off";
defparam shift_out_85_30_.sum_lutc_input="datac";
  cyclone_lcell shift_out_85_c_30_ (
        .combout(shift_out_85_c[30]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_29),
        .datad(shift_out_68[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_c_30_.operation_mode="normal";
defparam shift_out_85_c_30_.output_mode="comb_only";
defparam shift_out_85_c_30_.lut_mask="dc98";
defparam shift_out_85_c_30_.synch_mode="off";
defparam shift_out_85_c_30_.sum_lutc_input="datac";
  cyclone_lcell shift_out_89_1_31_ (
        .combout(shift_out_89_1_30),
        .dataa(a_o_2),
        .datab(shift_out_68[29]),
        .datac(shift_out_68[27]),
        .datad(shift_out_89_1_c[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_1_31_.operation_mode="normal";
defparam shift_out_89_1_31_.output_mode="comb_only";
defparam shift_out_89_1_31_.lut_mask="f588";
defparam shift_out_89_1_31_.synch_mode="off";
defparam shift_out_89_1_31_.sum_lutc_input="datac";
  cyclone_lcell shift_out_89_1_c_31_ (
        .combout(shift_out_89_1_c[31]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_30),
        .datad(shift_out_68[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_1_c_31_.operation_mode="normal";
defparam shift_out_89_1_c_31_.output_mode="comb_only";
defparam shift_out_89_1_c_31_.lut_mask="dc98";
defparam shift_out_89_1_c_31_.synch_mode="off";
defparam shift_out_89_1_c_31_.sum_lutc_input="datac";
  cyclone_lcell shift_out_74_3_ (
        .combout(shift_out_74[3]),
        .dataa(a_o_2),
        .datab(b_o_iv_31),
        .datac(shift_out_47[3]),
        .datad(shift_out_74_c[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_3_.operation_mode="normal";
defparam shift_out_74_3_.output_mode="comb_only";
defparam shift_out_74_3_.lut_mask="dda0";
defparam shift_out_74_3_.synch_mode="off";
defparam shift_out_74_3_.sum_lutc_input="datac";
  cyclone_lcell shift_out_74_c_3_ (
        .combout(shift_out_74_c[3]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_50[3]),
        .datad(shift_out_44[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_c_3_.operation_mode="normal";
defparam shift_out_74_c_3_.output_mode="comb_only";
defparam shift_out_74_c_3_.lut_mask="ba98";
defparam shift_out_74_c_3_.synch_mode="off";
defparam shift_out_74_c_3_.sum_lutc_input="datac";
  cyclone_lcell shift_out_74_4_ (
        .combout(shift_out_74[4]),
        .dataa(a_o_2),
        .datab(b_o_iv_31),
        .datac(shift_out_79[16]),
        .datad(shift_out_74_c[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_4_.operation_mode="normal";
defparam shift_out_74_4_.output_mode="comb_only";
defparam shift_out_74_4_.lut_mask="dda0";
defparam shift_out_74_4_.synch_mode="off";
defparam shift_out_74_4_.sum_lutc_input="datac";
  cyclone_lcell shift_out_74_c_4_ (
        .combout(shift_out_74_c[4]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_79[12]),
        .datad(shift_out_41[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_c_4_.operation_mode="normal";
defparam shift_out_74_c_4_.output_mode="comb_only";
defparam shift_out_74_c_4_.lut_mask="ba98";
defparam shift_out_74_c_4_.synch_mode="off";
defparam shift_out_74_c_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_31_ (
        .combout(shift_out_92_2_0_3),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_88[31]),
        .datad(shift_out_92_2_0_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_31_.operation_mode="normal";
defparam shift_out_92_2_0_31_.output_mode="comb_only";
defparam shift_out_92_2_0_31_.lut_mask="80b3";
defparam shift_out_92_2_0_31_.synch_mode="off";
defparam shift_out_92_2_0_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_a_31_ (
        .combout(shift_out_92_2_0_a[31]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_84_2_0[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_a_31_.operation_mode="normal";
defparam shift_out_92_2_0_a_31_.output_mode="comb_only";
defparam shift_out_92_2_0_a_31_.lut_mask="23ef";
defparam shift_out_92_2_0_a_31_.synch_mode="off";
defparam shift_out_92_2_0_a_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_16_ (
        .combout(shift_out_92_15),
        .dataa(shift_out_90_sn_m3),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_90_1[16]),
        .datad(shift_out_92_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_16_.operation_mode="normal";
defparam shift_out_92_16_.output_mode="comb_only";
defparam shift_out_92_16_.lut_mask="20fd";
defparam shift_out_92_16_.synch_mode="off";
defparam shift_out_92_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_16_ (
        .combout(shift_out_92_a[16]),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_88[16]),
        .datad(shift_out_90_0[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_16_.operation_mode="normal";
defparam shift_out_92_a_16_.output_mode="comb_only";
defparam shift_out_92_a_16_.lut_mask="4c7f";
defparam shift_out_92_a_16_.synch_mode="off";
defparam shift_out_92_a_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_24_ (
        .combout(shift_out_92_23),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_92_a[24]),
        .datad(shift_out_90_u[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_24_.operation_mode="normal";
defparam shift_out_92_24_.output_mode="comb_only";
defparam shift_out_92_24_.lut_mask="3b08";
defparam shift_out_92_24_.synch_mode="off";
defparam shift_out_92_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_24_ (
        .combout(shift_out_92_a[24]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_24),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_24_.operation_mode="normal";
defparam shift_out_92_a_24_.output_mode="comb_only";
defparam shift_out_92_a_24_.lut_mask="0c3f";
defparam shift_out_92_a_24_.synch_mode="off";
defparam shift_out_92_a_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_25_ (
        .combout(shift_out_92_24),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_92_a[25]),
        .datad(shift_out_90_u[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_25_.operation_mode="normal";
defparam shift_out_92_25_.output_mode="comb_only";
defparam shift_out_92_25_.lut_mask="3b08";
defparam shift_out_92_25_.synch_mode="off";
defparam shift_out_92_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_25_ (
        .combout(shift_out_92_a[25]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_25),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_25_.operation_mode="normal";
defparam shift_out_92_a_25_.output_mode="comb_only";
defparam shift_out_92_a_25_.lut_mask="0c3f";
defparam shift_out_92_a_25_.synch_mode="off";
defparam shift_out_92_a_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_27_ (
        .combout(shift_out_92_26),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_92_a[27]),
        .datad(shift_out_90_u[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_27_.operation_mode="normal";
defparam shift_out_92_27_.output_mode="comb_only";
defparam shift_out_92_27_.lut_mask="3b08";
defparam shift_out_92_27_.synch_mode="off";
defparam shift_out_92_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_27_ (
        .combout(shift_out_92_a[27]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_0_15),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_27_.operation_mode="normal";
defparam shift_out_92_a_27_.output_mode="comb_only";
defparam shift_out_92_a_27_.lut_mask="0c3f";
defparam shift_out_92_a_27_.synch_mode="off";
defparam shift_out_92_a_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_28_ (
        .combout(shift_out_92_2_0_0),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_88[28]),
        .datad(shift_out_92_2_0_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_28_.operation_mode="normal";
defparam shift_out_92_2_0_28_.output_mode="comb_only";
defparam shift_out_92_2_0_28_.lut_mask="80b3";
defparam shift_out_92_2_0_28_.synch_mode="off";
defparam shift_out_92_2_0_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_a_28_ (
        .combout(shift_out_92_2_0_a[28]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_84_2_0[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_a_28_.operation_mode="normal";
defparam shift_out_92_2_0_a_28_.output_mode="comb_only";
defparam shift_out_92_2_0_a_28_.lut_mask="23ef";
defparam shift_out_92_2_0_a_28_.synch_mode="off";
defparam shift_out_92_2_0_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_29_ (
        .combout(shift_out_92_2_0_1),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_88[29]),
        .datad(shift_out_92_2_0_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_29_.operation_mode="normal";
defparam shift_out_92_2_0_29_.output_mode="comb_only";
defparam shift_out_92_2_0_29_.lut_mask="80b3";
defparam shift_out_92_2_0_29_.synch_mode="off";
defparam shift_out_92_2_0_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_a_29_ (
        .combout(shift_out_92_2_0_a[29]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_84_2_0[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_a_29_.operation_mode="normal";
defparam shift_out_92_2_0_a_29_.output_mode="comb_only";
defparam shift_out_92_2_0_a_29_.lut_mask="23ef";
defparam shift_out_92_2_0_a_29_.synch_mode="off";
defparam shift_out_92_2_0_a_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_30_ (
        .combout(shift_out_92_2_0_2),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_88[30]),
        .datad(shift_out_92_2_0_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_30_.operation_mode="normal";
defparam shift_out_92_2_0_30_.output_mode="comb_only";
defparam shift_out_92_2_0_30_.lut_mask="80b3";
defparam shift_out_92_2_0_30_.synch_mode="off";
defparam shift_out_92_2_0_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_a_30_ (
        .combout(shift_out_92_2_0_a[30]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_84_2_0[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_a_30_.operation_mode="normal";
defparam shift_out_92_2_0_a_30_.output_mode="comb_only";
defparam shift_out_92_2_0_a_30_.lut_mask="23ef";
defparam shift_out_92_2_0_a_30_.synch_mode="off";
defparam shift_out_92_2_0_a_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_18_ (
        .combout(shift_out_92_17),
        .dataa(shift_out_sn_m25_0),
        .datab(shift_out_92_a[18]),
        .datac(shift_out_90_1[18]),
        .datad(shift_out_90_0[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_18_.operation_mode="normal";
defparam shift_out_92_18_.output_mode="comb_only";
defparam shift_out_92_18_.lut_mask="7362";
defparam shift_out_92_18_.synch_mode="off";
defparam shift_out_92_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_18_ (
        .combout(shift_out_92_a[18]),
        .dataa(shift_out586),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_88[18]),
        .datad(shift_out_sn_m25_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_18_.operation_mode="normal";
defparam shift_out_92_a_18_.output_mode="comb_only";
defparam shift_out_92_a_18_.lut_mask="3f55";
defparam shift_out_92_a_18_.synch_mode="off";
defparam shift_out_92_a_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_13_ (
        .combout(shift_out_92_12),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_88[13]),
        .datac(shift_out_sn_m25_0),
        .datad(shift_out_92_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_13_.operation_mode="normal";
defparam shift_out_92_13_.output_mode="comb_only";
defparam shift_out_92_13_.lut_mask="8f80";
defparam shift_out_92_13_.synch_mode="off";
defparam shift_out_92_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_13_ (
        .combout(shift_out_92_a[13]),
        .dataa(shift_out586),
        .datab(a_o_4),
        .datac(shift_out_77[13]),
        .datad(shift_out_90_1_0[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_13_.operation_mode="normal";
defparam shift_out_92_a_13_.output_mode="comb_only";
defparam shift_out_92_a_13_.lut_mask="7520";
defparam shift_out_92_a_13_.synch_mode="off";
defparam shift_out_92_a_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_10_ (
        .combout(shift_out_92_9),
        .dataa(shift_out_sn_m25_0),
        .datab(shift_out_90_0[10]),
        .datac(shift_out_92_a[10]),
        .datad(shift_out_90_1[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_10_.operation_mode="normal";
defparam shift_out_92_10_.output_mode="comb_only";
defparam shift_out_92_10_.lut_mask="4f4a";
defparam shift_out_92_10_.synch_mode="off";
defparam shift_out_92_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_10_ (
        .combout(shift_out_92_a[10]),
        .dataa(shift_out586),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_sn_m25_0),
        .datad(shift_out_91[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_10_.operation_mode="normal";
defparam shift_out_92_a_10_.output_mode="comb_only";
defparam shift_out_92_a_10_.lut_mask="01f1";
defparam shift_out_92_a_10_.synch_mode="off";
defparam shift_out_92_a_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_15_ (
        .combout(shift_out_92_14),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_92_a[15]),
        .datac(shift_out_sn_m25_0),
        .datad(shift_out_90_u[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_15_.operation_mode="normal";
defparam shift_out_92_15_.output_mode="comb_only";
defparam shift_out_92_15_.lut_mask="2f20";
defparam shift_out_92_15_.synch_mode="off";
defparam shift_out_92_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_15_ (
        .combout(shift_out_92_a[15]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_15),
        .datad(shift_out_79[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_15_.operation_mode="normal";
defparam shift_out_92_a_15_.output_mode="comb_only";
defparam shift_out_92_a_15_.lut_mask="0c3f";
defparam shift_out_92_a_15_.synch_mode="off";
defparam shift_out_92_a_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_19_ (
        .combout(shift_out_92_18),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_92_a[19]),
        .datac(shift_out_sn_m25_0),
        .datad(shift_out_90_u[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_19_.operation_mode="normal";
defparam shift_out_92_19_.output_mode="comb_only";
defparam shift_out_92_19_.lut_mask="2f20";
defparam shift_out_92_19_.synch_mode="off";
defparam shift_out_92_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_19_ (
        .combout(shift_out_92_a[19]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_19),
        .datad(shift_out_79[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_19_.operation_mode="normal";
defparam shift_out_92_a_19_.output_mode="comb_only";
defparam shift_out_92_a_19_.lut_mask="0c3f";
defparam shift_out_92_a_19_.synch_mode="off";
defparam shift_out_92_a_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_21_ (
        .combout(shift_out_92_20),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_90_u[21]),
        .datad(shift_out_92_a[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_21_.operation_mode="normal";
defparam shift_out_92_21_.output_mode="comb_only";
defparam shift_out_92_21_.lut_mask="30b8";
defparam shift_out_92_21_.synch_mode="off";
defparam shift_out_92_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_21_ (
        .combout(shift_out_92_a[21]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_21),
        .datad(shift_out_79[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_21_.operation_mode="normal";
defparam shift_out_92_a_21_.output_mode="comb_only";
defparam shift_out_92_a_21_.lut_mask="0c3f";
defparam shift_out_92_a_21_.synch_mode="off";
defparam shift_out_92_a_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_22_ (
        .combout(shift_out_92_21),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_92_a[22]),
        .datad(shift_out_90_u[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_22_.operation_mode="normal";
defparam shift_out_92_22_.output_mode="comb_only";
defparam shift_out_92_22_.lut_mask="3b08";
defparam shift_out_92_22_.synch_mode="off";
defparam shift_out_92_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_22_ (
        .combout(shift_out_92_a[22]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_22),
        .datad(shift_out_79[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_22_.operation_mode="normal";
defparam shift_out_92_a_22_.output_mode="comb_only";
defparam shift_out_92_a_22_.lut_mask="0c3f";
defparam shift_out_92_a_22_.synch_mode="off";
defparam shift_out_92_a_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_26_ (
        .combout(shift_out_92_25),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_92_a[26]),
        .datad(shift_out_90_u[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_26_.operation_mode="normal";
defparam shift_out_92_26_.output_mode="comb_only";
defparam shift_out_92_26_.lut_mask="3b08";
defparam shift_out_92_26_.synch_mode="off";
defparam shift_out_92_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_26_ (
        .combout(shift_out_92_a[26]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_26),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_26_.operation_mode="normal";
defparam shift_out_92_a_26_.output_mode="comb_only";
defparam shift_out_92_a_26_.lut_mask="0c3f";
defparam shift_out_92_a_26_.synch_mode="off";
defparam shift_out_92_a_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_24_ (
        .combout(shift_out_90_u[24]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_90_1[24]),
        .datad(shift_out_90_3[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_24_.operation_mode="normal";
defparam shift_out_90_u_24_.output_mode="comb_only";
defparam shift_out_90_u_24_.lut_mask="f3c0";
defparam shift_out_90_u_24_.synch_mode="off";
defparam shift_out_90_u_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_25_ (
        .combout(shift_out_90_u[25]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_90_1[25]),
        .datad(shift_out_90_u_a[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_25_.operation_mode="normal";
defparam shift_out_90_u_25_.output_mode="comb_only";
defparam shift_out_90_u_25_.lut_mask="c0f3";
defparam shift_out_90_u_25_.synch_mode="off";
defparam shift_out_90_u_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_25_ (
        .combout(shift_out_90_u_a[25]),
        .dataa(VCC),
        .datab(shift_out_90_3_s[24]),
        .datac(shift_out_45[29]),
        .datad(shift_out_90_3_d[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_25_.operation_mode="normal";
defparam shift_out_90_u_a_25_.output_mode="comb_only";
defparam shift_out_90_u_a_25_.lut_mask="0c3f";
defparam shift_out_90_u_a_25_.synch_mode="off";
defparam shift_out_90_u_a_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_27_ (
        .combout(shift_out_90_u[27]),
        .dataa(shift_out_90_sn_m3),
        .datab(shift_out_90_3_s[24]),
        .datac(shift_out_90_3_d[27]),
        .datad(shift_out_90_u_a[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_27_.operation_mode="normal";
defparam shift_out_90_u_27_.output_mode="comb_only";
defparam shift_out_90_u_27_.lut_mask="10fe";
defparam shift_out_90_u_27_.synch_mode="off";
defparam shift_out_90_u_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_27_ (
        .combout(shift_out_90_u_a[27]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_45[31]),
        .datad(shift_out_90_1[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_27_.operation_mode="normal";
defparam shift_out_90_u_a_27_.output_mode="comb_only";
defparam shift_out_90_u_a_27_.lut_mask="03cf";
defparam shift_out_90_u_a_27_.synch_mode="off";
defparam shift_out_90_u_a_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_1_ (
        .combout(shift_out_92_0),
        .dataa(VCC),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_90[1]),
        .datad(shift_out_92_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_1_.operation_mode="normal";
defparam shift_out_92_1_.output_mode="comb_only";
defparam shift_out_92_1_.lut_mask="30fc";
defparam shift_out_92_1_.synch_mode="off";
defparam shift_out_92_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_1_ (
        .combout(shift_out_92_a[1]),
        .dataa(VCC),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_88[1]),
        .datad(shift_out_76[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_1_.operation_mode="normal";
defparam shift_out_92_a_1_.output_mode="comb_only";
defparam shift_out_92_a_1_.lut_mask="0c3f";
defparam shift_out_92_a_1_.synch_mode="off";
defparam shift_out_92_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_24_ (
        .combout(shift_out_90_3[24]),
        .dataa(VCC),
        .datab(shift_out_90_3_s[24]),
        .datac(shift_out_45[28]),
        .datad(shift_out_90_3_a[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_24_.operation_mode="normal";
defparam shift_out_90_3_24_.output_mode="comb_only";
defparam shift_out_90_3_24_.lut_mask="c0f3";
defparam shift_out_90_3_24_.synch_mode="off";
defparam shift_out_90_3_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_a_24_ (
        .combout(shift_out_90_3_a[24]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3_0),
        .datac(shift_out_48[28]),
        .datad(shift_out_90_2[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_a_24_.operation_mode="normal";
defparam shift_out_90_3_a_24_.output_mode="comb_only";
defparam shift_out_90_3_a_24_.lut_mask="0c3f";
defparam shift_out_90_3_a_24_.synch_mode="off";
defparam shift_out_90_3_a_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_d_12_ (
        .combout(shift_out_92_2_0_d_4),
        .dataa(a_o_4),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_77[12]),
        .datad(shift_out_92_2_0_d_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_d_12_.operation_mode="normal";
defparam shift_out_92_2_0_d_12_.output_mode="comb_only";
defparam shift_out_92_2_0_d_12_.lut_mask="10dc";
defparam shift_out_92_2_0_d_12_.synch_mode="off";
defparam shift_out_92_2_0_d_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_d_a_12_ (
        .combout(shift_out_92_2_0_d_a[12]),
        .dataa(shift_out_sn_b10_0),
        .datab(b_o_iv_0_0),
        .datac(shift_out_sn_m17_0),
        .datad(shift_out_79[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_d_a_12_.operation_mode="normal";
defparam shift_out_92_2_0_d_a_12_.output_mode="comb_only";
defparam shift_out_92_2_0_d_a_12_.lut_mask="2f7f";
defparam shift_out_92_2_0_d_a_12_.synch_mode="off";
defparam shift_out_92_2_0_d_a_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_d_8_ (
        .combout(shift_out_92_2_0_d_0),
        .dataa(shift_out_63_a[17]),
        .datab(shift_out_92_2_0_d_a[8]),
        .datac(shift_out_sn_m25_0),
        .datad(shift_out_91[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_d_8_.operation_mode="normal";
defparam shift_out_92_2_0_d_8_.output_mode="comb_only";
defparam shift_out_92_2_0_d_8_.lut_mask="f808";
defparam shift_out_92_2_0_d_8_.synch_mode="off";
defparam shift_out_92_2_0_d_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_2_0_d_a_8_ (
        .combout(shift_out_92_2_0_d_a[8]),
        .dataa(VCC),
        .datab(a_o_4),
        .datac(a_o_0),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_2_0_d_a_8_.operation_mode="normal";
defparam shift_out_92_2_0_d_a_8_.output_mode="comb_only";
defparam shift_out_92_2_0_d_a_8_.lut_mask="0300";
defparam shift_out_92_2_0_d_a_8_.synch_mode="off";
defparam shift_out_92_2_0_d_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_d_17_ (
        .combout(shift_out_92_d_3),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_88[17]),
        .datac(shift_out_sn_m25_0),
        .datad(shift_out_92_d_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_d_17_.operation_mode="normal";
defparam shift_out_92_d_17_.output_mode="comb_only";
defparam shift_out_92_d_17_.lut_mask="8f80";
defparam shift_out_92_d_17_.synch_mode="off";
defparam shift_out_92_d_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_d_a_17_ (
        .combout(shift_out_92_d_a[17]),
        .dataa(a_o_4),
        .datab(a_o_3),
        .datac(shift_out_63[17]),
        .datad(shift_out_77[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_d_a_17_.operation_mode="normal";
defparam shift_out_92_d_a_17_.output_mode="comb_only";
defparam shift_out_92_d_a_17_.lut_mask="7520";
defparam shift_out_92_d_a_17_.synch_mode="off";
defparam shift_out_92_d_a_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_3_ (
        .combout(shift_out_92_2),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_86[3]),
        .datad(shift_out_92_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_3_.operation_mode="normal";
defparam shift_out_92_3_.output_mode="comb_only";
defparam shift_out_92_3_.lut_mask="10dc";
defparam shift_out_92_3_.synch_mode="off";
defparam shift_out_92_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_a_3_ (
        .combout(shift_out_92_a[3]),
        .dataa(VCC),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_88[3]),
        .datad(shift_out_76[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_a_3_.operation_mode="normal";
defparam shift_out_92_a_3_.output_mode="comb_only";
defparam shift_out_92_a_3_.lut_mask="0c3f";
defparam shift_out_92_a_3_.synch_mode="off";
defparam shift_out_92_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_9_ (
        .combout(shift_out_90_u_0),
        .dataa(shift_out586),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_90_u_a[9]),
        .datad(shift_out_90_1[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_9_.operation_mode="normal";
defparam shift_out_90_u_9_.output_mode="comb_only";
defparam shift_out_90_u_9_.lut_mask="ef01";
defparam shift_out_90_u_9_.synch_mode="off";
defparam shift_out_90_u_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_9_ (
        .combout(shift_out_90_u_a[9]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_47[1]),
        .datad(shift_out_44[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_9_.operation_mode="normal";
defparam shift_out_90_u_a_9_.output_mode="comb_only";
defparam shift_out_90_u_a_9_.lut_mask="03cf";
defparam shift_out_90_u_a_9_.synch_mode="off";
defparam shift_out_90_u_a_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_21_ (
        .combout(shift_out_90_u[21]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_63[29]),
        .datad(shift_out_90_u_a[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_21_.operation_mode="normal";
defparam shift_out_90_u_21_.output_mode="comb_only";
defparam shift_out_90_u_21_.lut_mask="c0f3";
defparam shift_out_90_u_21_.synch_mode="off";
defparam shift_out_90_u_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_21_ (
        .combout(shift_out_90_u_a[21]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_75[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_21_.operation_mode="normal";
defparam shift_out_90_u_a_21_.output_mode="comb_only";
defparam shift_out_90_u_a_21_.lut_mask="23ef";
defparam shift_out_90_u_a_21_.synch_mode="off";
defparam shift_out_90_u_a_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_22_ (
        .combout(shift_out_90_u[22]),
        .dataa(a_o_2),
        .datab(shift_out_52[30]),
        .datac(shift_out_90_0[22]),
        .datad(shift_out_90_u_a[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_22_.operation_mode="normal";
defparam shift_out_90_u_22_.output_mode="comb_only";
defparam shift_out_90_u_22_.lut_mask="50ee";
defparam shift_out_90_u_22_.synch_mode="off";
defparam shift_out_90_u_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_22_ (
        .combout(shift_out_90_u_a[22]),
        .dataa(a_o_2),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_48[30]),
        .datad(shift_out_90_0[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_22_.operation_mode="normal";
defparam shift_out_90_u_a_22_.output_mode="comb_only";
defparam shift_out_90_u_a_22_.lut_mask="193b";
defparam shift_out_90_u_a_22_.synch_mode="off";
defparam shift_out_90_u_a_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_23_ (
        .combout(shift_out_90_u_14),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_90_0[23]),
        .datad(shift_out_90_1[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_23_.operation_mode="normal";
defparam shift_out_90_u_23_.output_mode="comb_only";
defparam shift_out_90_u_23_.lut_mask="fc30";
defparam shift_out_90_u_23_.synch_mode="off";
defparam shift_out_90_u_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_26_ (
        .combout(shift_out_90_u[26]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_90_1[26]),
        .datad(shift_out_90_3[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_26_.operation_mode="normal";
defparam shift_out_90_u_26_.output_mode="comb_only";
defparam shift_out_90_u_26_.lut_mask="f3c0";
defparam shift_out_90_u_26_.synch_mode="off";
defparam shift_out_90_u_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_11_ (
        .combout(shift_out_90_u_2),
        .dataa(shift_out586),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_90_u_a[11]),
        .datad(shift_out_90_1[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_11_.operation_mode="normal";
defparam shift_out_90_u_11_.output_mode="comb_only";
defparam shift_out_90_u_11_.lut_mask="ef01";
defparam shift_out_90_u_11_.synch_mode="off";
defparam shift_out_90_u_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_11_ (
        .combout(shift_out_90_u_a[11]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_47[3]),
        .datad(shift_out_44[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_11_.operation_mode="normal";
defparam shift_out_90_u_a_11_.output_mode="comb_only";
defparam shift_out_90_u_a_11_.lut_mask="03cf";
defparam shift_out_90_u_a_11_.synch_mode="off";
defparam shift_out_90_u_a_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_15_ (
        .combout(shift_out_90_u[15]),
        .dataa(shift_out586),
        .datab(b_o_iv_31),
        .datac(shift_out_90_0[15]),
        .datad(shift_out_90_u_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_15_.operation_mode="normal";
defparam shift_out_90_u_15_.output_mode="comb_only";
defparam shift_out_90_u_15_.lut_mask="fa44";
defparam shift_out_90_u_15_.synch_mode="off";
defparam shift_out_90_u_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_15_ (
        .combout(shift_out_90_u_a[15]),
        .dataa(shift_out586),
        .datab(a_o_4),
        .datac(shift_out_90_sn_m1_3),
        .datad(shift_out_63[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_15_.operation_mode="normal";
defparam shift_out_90_u_a_15_.output_mode="comb_only";
defparam shift_out_90_u_a_15_.lut_mask="2705";
defparam shift_out_90_u_a_15_.synch_mode="off";
defparam shift_out_90_u_a_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_16_ (
        .combout(shift_out_90_0[16]),
        .dataa(shift_out586),
        .datab(a_o_3),
        .datac(shift_out_90_0_a[16]),
        .datad(shift_out_86[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_16_.operation_mode="normal";
defparam shift_out_90_0_16_.output_mode="comb_only";
defparam shift_out_90_0_16_.lut_mask="7520";
defparam shift_out_90_0_16_.synch_mode="off";
defparam shift_out_90_0_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_a_16_ (
        .combout(shift_out_90_0_a[16]),
        .dataa(a_o_2),
        .datab(a_o_0),
        .datac(a_o_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_a_16_.operation_mode="normal";
defparam shift_out_90_0_a_16_.output_mode="comb_only";
defparam shift_out_90_0_a_16_.lut_mask="0100";
defparam shift_out_90_0_a_16_.synch_mode="off";
defparam shift_out_90_0_a_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_19_ (
        .combout(shift_out_90_u[19]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_90_u_a[19]),
        .datad(shift_out_90_1[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_19_.operation_mode="normal";
defparam shift_out_90_u_19_.output_mode="comb_only";
defparam shift_out_90_u_19_.lut_mask="fc30";
defparam shift_out_90_u_19_.synch_mode="off";
defparam shift_out_90_u_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_19_ (
        .combout(shift_out_90_u_a[19]),
        .dataa(shift_out586),
        .datab(a_o_3),
        .datac(shift_out_77[11]),
        .datad(shift_out_86[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_19_.operation_mode="normal";
defparam shift_out_90_u_a_19_.output_mode="comb_only";
defparam shift_out_90_u_a_19_.lut_mask="7520";
defparam shift_out_90_u_a_19_.synch_mode="off";
defparam shift_out_90_u_a_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_20_ (
        .combout(shift_out_90_u_11),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3),
        .datac(shift_out_63[28]),
        .datad(shift_out_90_u_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_20_.operation_mode="normal";
defparam shift_out_90_u_20_.output_mode="comb_only";
defparam shift_out_90_u_20_.lut_mask="c0f3";
defparam shift_out_90_u_20_.synch_mode="off";
defparam shift_out_90_u_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_u_a_20_ (
        .combout(shift_out_90_u_a[20]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_75[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_u_a_20_.operation_mode="normal";
defparam shift_out_90_u_a_20_.output_mode="comb_only";
defparam shift_out_90_u_a_20_.lut_mask="23ef";
defparam shift_out_90_u_a_20_.synch_mode="off";
defparam shift_out_90_u_a_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_2_0_31_ (
        .combout(shift_out_84_2_0[31]),
        .dataa(VCC),
        .datab(a_o_4),
        .datac(shift_out_77[31]),
        .datad(shift_out_84_1_0[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_2_0_31_.operation_mode="normal";
defparam shift_out_84_2_0_31_.output_mode="comb_only";
defparam shift_out_84_2_0_31_.lut_mask="fc30";
defparam shift_out_84_2_0_31_.synch_mode="off";
defparam shift_out_84_2_0_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_ (
        .combout(shift_out_90[1]),
        .dataa(shift_out586),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_90_a[1]),
        .datad(shift_out_86_1_0[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_.operation_mode="normal";
defparam shift_out_90_1_.output_mode="comb_only";
defparam shift_out_90_1_.lut_mask="4501";
defparam shift_out_90_1_.synch_mode="off";
defparam shift_out_90_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_a_1_ (
        .combout(shift_out_90_a[1]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_50[1]),
        .datad(shift_out_79[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_a_1_.operation_mode="normal";
defparam shift_out_90_a_1_.output_mode="comb_only";
defparam shift_out_90_a_1_.lut_mask="0c3f";
defparam shift_out_90_a_1_.synch_mode="off";
defparam shift_out_90_a_1_.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m25_0_cZ (
        .combout(shift_out_sn_m25_0),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0_a),
        .datac(shift_out_sn_m17_0_a2),
        .datad(shift_out_sn_m25_0_a5_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m25_0_cZ.operation_mode="normal";
defparam shift_out_sn_m25_0_cZ.output_mode="comb_only";
defparam shift_out_sn_m25_0_cZ.lut_mask="ff73";
defparam shift_out_sn_m25_0_cZ.synch_mode="off";
defparam shift_out_sn_m25_0_cZ.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m25_0_a_cZ (
        .combout(shift_out_sn_m25_0_a),
        .dataa(shift_out588),
        .datab(shift_out_sn_m25_0_a5_1),
        .datac(a_o_4),
        .datad(a_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m25_0_a_cZ.operation_mode="normal";
defparam shift_out_sn_m25_0_a_cZ.output_mode="comb_only";
defparam shift_out_sn_m25_0_a_cZ.lut_mask="3332";
defparam shift_out_sn_m25_0_a_cZ.synch_mode="off";
defparam shift_out_sn_m25_0_a_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_1_0_31_ (
        .combout(shift_out_84_1_0[31]),
        .dataa(VCC),
        .datab(shift_out_84_1_0_s[31]),
        .datac(shift_out_52[31]),
        .datad(shift_out_84_1_0_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_1_0_31_.operation_mode="normal";
defparam shift_out_84_1_0_31_.output_mode="comb_only";
defparam shift_out_84_1_0_31_.lut_mask="c0f3";
defparam shift_out_84_1_0_31_.synch_mode="off";
defparam shift_out_84_1_0_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_1_0_a_31_ (
        .combout(shift_out_84_1_0_a[31]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_48[31]),
        .datad(shift_out_63[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_1_0_a_31_.operation_mode="normal";
defparam shift_out_84_1_0_a_31_.output_mode="comb_only";
defparam shift_out_84_1_0_a_31_.lut_mask="03cf";
defparam shift_out_84_1_0_a_31_.synch_mode="off";
defparam shift_out_84_1_0_a_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_d_27_ (
        .combout(shift_out_90_3_d[27]),
        .dataa(shift_out586),
        .datab(shift_out_90_sn_m3_0),
        .datac(shift_out_90_3_d_a[27]),
        .datad(shift_out_77[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_d_27_.operation_mode="normal";
defparam shift_out_90_3_d_27_.output_mode="comb_only";
defparam shift_out_90_3_d_27_.lut_mask="3e1c";
defparam shift_out_90_3_d_27_.synch_mode="off";
defparam shift_out_90_3_d_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_d_a_27_ (
        .combout(shift_out_90_3_d_a[27]),
        .dataa(shift_out587),
        .datab(shift_out_90_sn_m3_0),
        .datac(b_o_iv_31),
        .datad(shift_out_48[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_d_a_27_.operation_mode="normal";
defparam shift_out_90_3_d_a_27_.output_mode="comb_only";
defparam shift_out_90_3_d_a_27_.lut_mask="10dc";
defparam shift_out_90_3_d_a_27_.synch_mode="off";
defparam shift_out_90_3_d_a_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_d_25_ (
        .combout(shift_out_90_3_d[25]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m3_0),
        .datac(shift_out_48[29]),
        .datad(shift_out_90_3_d_a[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_d_25_.operation_mode="normal";
defparam shift_out_90_3_d_25_.output_mode="comb_only";
defparam shift_out_90_3_d_25_.lut_mask="c0f3";
defparam shift_out_90_3_d_25_.synch_mode="off";
defparam shift_out_90_3_d_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_d_a_25_ (
        .combout(shift_out_90_3_d_a[25]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_63[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_d_a_25_.operation_mode="normal";
defparam shift_out_90_3_d_a_25_.output_mode="comb_only";
defparam shift_out_90_3_d_a_25_.lut_mask="23ef";
defparam shift_out_90_3_d_a_25_.synch_mode="off";
defparam shift_out_90_3_d_a_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_d_14_ (
        .combout(shift_out_92_d_0),
        .dataa(a_o_4),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_92_d_a[14]),
        .datad(shift_out_63[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_d_14_.operation_mode="normal";
defparam shift_out_92_d_14_.output_mode="comb_only";
defparam shift_out_92_d_14_.lut_mask="1d0c";
defparam shift_out_92_d_14_.synch_mode="off";
defparam shift_out_92_d_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_92_d_a_14_ (
        .combout(shift_out_92_d_a[14]),
        .dataa(shift_out_sn_b10_0),
        .datab(b_o_iv_14),
        .datac(shift_out_sn_m17_0),
        .datad(shift_out_79[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_92_d_a_14_.operation_mode="normal";
defparam shift_out_92_d_a_14_.output_mode="comb_only";
defparam shift_out_92_d_a_14_.lut_mask="2f7f";
defparam shift_out_92_d_a_14_.synch_mode="off";
defparam shift_out_92_d_a_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_2_ (
        .combout(shift_out_86_0),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_86_a[2]),
        .datad(shift_out_74[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_2_.operation_mode="normal";
defparam shift_out_86_2_.output_mode="comb_only";
defparam shift_out_86_2_.lut_mask="cf03";
defparam shift_out_86_2_.synch_mode="off";
defparam shift_out_86_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_a_2_ (
        .combout(shift_out_86_a[2]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_79[6]),
        .datad(shift_out_79[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_a_2_.operation_mode="normal";
defparam shift_out_86_a_2_.output_mode="comb_only";
defparam shift_out_86_a_2_.lut_mask="03cf";
defparam shift_out_86_a_2_.synch_mode="off";
defparam shift_out_86_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_5_ (
        .combout(shift_out_86_3),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_86_a[5]),
        .datad(shift_out_74[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_5_.operation_mode="normal";
defparam shift_out_86_5_.output_mode="comb_only";
defparam shift_out_86_5_.lut_mask="cf03";
defparam shift_out_86_5_.synch_mode="off";
defparam shift_out_86_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_a_5_ (
        .combout(shift_out_86_a[5]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_50[1]),
        .datad(shift_out_47[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_a_5_.operation_mode="normal";
defparam shift_out_86_a_5_.output_mode="comb_only";
defparam shift_out_86_a_5_.lut_mask="03cf";
defparam shift_out_86_a_5_.synch_mode="off";
defparam shift_out_86_a_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_7_ (
        .combout(shift_out_86_5),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_86_a[7]),
        .datad(shift_out_74_2_0[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_7_.operation_mode="normal";
defparam shift_out_86_7_.output_mode="comb_only";
defparam shift_out_86_7_.lut_mask="cf03";
defparam shift_out_86_7_.synch_mode="off";
defparam shift_out_86_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_a_7_ (
        .combout(shift_out_86_a[7]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_50[3]),
        .datad(shift_out_47[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_a_7_.operation_mode="normal";
defparam shift_out_86_a_7_.output_mode="comb_only";
defparam shift_out_86_a_7_.lut_mask="03cf";
defparam shift_out_86_a_7_.synch_mode="off";
defparam shift_out_86_a_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_16_ (
        .combout(shift_out_86[16]),
        .dataa(shift_out_90_sn_m1_3),
        .datab(shift_out_86_a[16]),
        .datac(b_o_iv_31),
        .datad(shift_out_41[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_16_.operation_mode="normal";
defparam shift_out_86_16_.output_mode="comb_only";
defparam shift_out_86_16_.lut_mask="b1a0";
defparam shift_out_86_16_.synch_mode="off";
defparam shift_out_86_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_a_16_ (
        .combout(shift_out_86_a[16]),
        .dataa(VCC),
        .datab(VCC),
        .datac(shift_out587),
        .datad(a_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_a_16_.operation_mode="normal";
defparam shift_out_86_a_16_.output_mode="comb_only";
defparam shift_out_86_a_16_.lut_mask="00f0";
defparam shift_out_86_a_16_.synch_mode="off";
defparam shift_out_86_a_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_ (
        .combout(shift_out_89_4),
        .dataa(shift_out586),
        .datab(b_o_iv_3),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_.operation_mode="normal";
defparam shift_out_89_4_.output_mode="comb_only";
defparam shift_out_89_4_.lut_mask="80df";
defparam shift_out_89_4_.synch_mode="off";
defparam shift_out_89_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_a_4_ (
        .combout(shift_out_89_a[4]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_85_d[4]),
        .datad(shift_out_87[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_a_4_.operation_mode="normal";
defparam shift_out_89_a_4_.output_mode="comb_only";
defparam shift_out_89_a_4_.lut_mask="0c3f";
defparam shift_out_89_a_4_.synch_mode="off";
defparam shift_out_89_a_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_5_ (
        .combout(shift_out_89_5),
        .dataa(shift_out586),
        .datab(b_o_iv_4),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_5_.operation_mode="normal";
defparam shift_out_89_5_.output_mode="comb_only";
defparam shift_out_89_5_.lut_mask="80df";
defparam shift_out_89_5_.synch_mode="off";
defparam shift_out_89_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_a_5_ (
        .combout(shift_out_89_a[5]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_85_d[5]),
        .datad(shift_out_87[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_a_5_.operation_mode="normal";
defparam shift_out_89_a_5_.output_mode="comb_only";
defparam shift_out_89_a_5_.lut_mask="0c3f";
defparam shift_out_89_a_5_.synch_mode="off";
defparam shift_out_89_a_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_24_ (
        .combout(shift_out_89_24),
        .dataa(shift_out586),
        .datab(b_o_iv_26),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_a[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_24_.operation_mode="normal";
defparam shift_out_89_24_.output_mode="comb_only";
defparam shift_out_89_24_.lut_mask="40ef";
defparam shift_out_89_24_.synch_mode="off";
defparam shift_out_89_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_a_24_ (
        .combout(shift_out_89_a[24]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_87_d[24]),
        .datad(shift_out_85[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_a_24_.operation_mode="normal";
defparam shift_out_89_a_24_.output_mode="comb_only";
defparam shift_out_89_a_24_.lut_mask="03cf";
defparam shift_out_89_a_24_.synch_mode="off";
defparam shift_out_89_a_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_25_ (
        .combout(shift_out_89_25),
        .dataa(shift_out586),
        .datab(b_o_iv_0_15),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_a[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_25_.operation_mode="normal";
defparam shift_out_89_25_.output_mode="comb_only";
defparam shift_out_89_25_.lut_mask="40ef";
defparam shift_out_89_25_.synch_mode="off";
defparam shift_out_89_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_a_25_ (
        .combout(shift_out_89_a[25]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_85[25]),
        .datad(shift_out_87_d[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_a_25_.operation_mode="normal";
defparam shift_out_89_a_25_.output_mode="comb_only";
defparam shift_out_89_a_25_.lut_mask="0c3f";
defparam shift_out_89_a_25_.synch_mode="off";
defparam shift_out_89_a_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_27_ (
        .combout(shift_out_89_27),
        .dataa(shift_out586),
        .datab(b_o_iv_29),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_a[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_27_.operation_mode="normal";
defparam shift_out_89_27_.output_mode="comb_only";
defparam shift_out_89_27_.lut_mask="40ef";
defparam shift_out_89_27_.synch_mode="off";
defparam shift_out_89_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_a_27_ (
        .combout(shift_out_89_a[27]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_85[27]),
        .datad(shift_out_87_d[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_a_27_.operation_mode="normal";
defparam shift_out_89_a_27_.output_mode="comb_only";
defparam shift_out_89_a_27_.lut_mask="0c3f";
defparam shift_out_89_a_27_.synch_mode="off";
defparam shift_out_89_a_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_28_ (
        .combout(shift_out_89_28),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_85[28]),
        .datad(shift_out_87[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_28_.operation_mode="normal";
defparam shift_out_89_28_.output_mode="comb_only";
defparam shift_out_89_28_.lut_mask="f3c0";
defparam shift_out_89_28_.synch_mode="off";
defparam shift_out_89_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_2_ (
        .combout(shift_out_91_2),
        .dataa(VCC),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_91_a[2]),
        .datad(shift_out_76[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_2_.operation_mode="normal";
defparam shift_out_91_2_.output_mode="comb_only";
defparam shift_out_91_2_.lut_mask="3f0c";
defparam shift_out_91_2_.synch_mode="off";
defparam shift_out_91_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_2_ (
        .combout(shift_out_91_a[2]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_2),
        .datad(shift_out_79[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_2_.operation_mode="normal";
defparam shift_out_91_a_2_.output_mode="comb_only";
defparam shift_out_91_a_2_.lut_mask="0c3f";
defparam shift_out_91_a_2_.synch_mode="off";
defparam shift_out_91_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_4_ (
        .combout(shift_out_91_4),
        .dataa(shift_out587),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_88[4]),
        .datad(shift_out_91_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_4_.operation_mode="normal";
defparam shift_out_91_4_.output_mode="comb_only";
defparam shift_out_91_4_.lut_mask="e2c0";
defparam shift_out_91_4_.synch_mode="off";
defparam shift_out_91_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_4_ (
        .combout(shift_out_91_a[4]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_79[16]),
        .datad(shift_out_41[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_4_.operation_mode="normal";
defparam shift_out_91_a_4_.output_mode="comb_only";
defparam shift_out_91_a_4_.lut_mask="7340";
defparam shift_out_91_a_4_.synch_mode="off";
defparam shift_out_91_a_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_5_ (
        .combout(shift_out_91_5),
        .dataa(shift_out587),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_88[5]),
        .datad(shift_out_91_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_5_.operation_mode="normal";
defparam shift_out_91_5_.output_mode="comb_only";
defparam shift_out_91_5_.lut_mask="e2c0";
defparam shift_out_91_5_.synch_mode="off";
defparam shift_out_91_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_5_ (
        .combout(shift_out_91_a[5]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_44[1]),
        .datad(shift_out_42[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_5_.operation_mode="normal";
defparam shift_out_91_a_5_.output_mode="comb_only";
defparam shift_out_91_a_5_.lut_mask="7340";
defparam shift_out_91_a_5_.synch_mode="off";
defparam shift_out_91_a_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_6_ (
        .combout(shift_out_91_6),
        .dataa(shift_out_sn_m7_i),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_91_a[6]),
        .datad(shift_out_64[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_6_.operation_mode="normal";
defparam shift_out_91_6_.output_mode="comb_only";
defparam shift_out_91_6_.lut_mask="1d0c";
defparam shift_out_91_6_.synch_mode="off";
defparam shift_out_91_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_6_ (
        .combout(shift_out_91_a[6]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_6),
        .datad(shift_out_79[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_6_.operation_mode="normal";
defparam shift_out_91_a_6_.output_mode="comb_only";
defparam shift_out_91_a_6_.lut_mask="0c3f";
defparam shift_out_91_a_6_.synch_mode="off";
defparam shift_out_91_a_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_7_ (
        .combout(shift_out_91_7),
        .dataa(shift_out587),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_88[7]),
        .datad(shift_out_91_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_7_.operation_mode="normal";
defparam shift_out_91_7_.output_mode="comb_only";
defparam shift_out_91_7_.lut_mask="e2c0";
defparam shift_out_91_7_.synch_mode="off";
defparam shift_out_91_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_7_ (
        .combout(shift_out_91_a[7]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_42[3]),
        .datad(shift_out_44[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_7_.operation_mode="normal";
defparam shift_out_91_a_7_.output_mode="comb_only";
defparam shift_out_91_a_7_.lut_mask="7430";
defparam shift_out_91_a_7_.synch_mode="off";
defparam shift_out_91_a_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_8_ (
        .combout(shift_out_91[8]),
        .dataa(shift_out_sn_m7_i),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_91_a[8]),
        .datad(shift_out_64[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_8_.operation_mode="normal";
defparam shift_out_91_8_.output_mode="comb_only";
defparam shift_out_91_8_.lut_mask="1d0c";
defparam shift_out_91_8_.synch_mode="off";
defparam shift_out_91_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_8_ (
        .combout(shift_out_91_a[8]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_8),
        .datad(shift_out_79[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_8_.operation_mode="normal";
defparam shift_out_91_a_8_.output_mode="comb_only";
defparam shift_out_91_a_8_.lut_mask="0c3f";
defparam shift_out_91_a_8_.synch_mode="off";
defparam shift_out_91_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_9_ (
        .combout(shift_out_91_9),
        .dataa(shift_out587),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_88[9]),
        .datad(shift_out_91_a[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_9_.operation_mode="normal";
defparam shift_out_91_9_.output_mode="comb_only";
defparam shift_out_91_9_.lut_mask="e2c0";
defparam shift_out_91_9_.synch_mode="off";
defparam shift_out_91_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_9_ (
        .combout(shift_out_91_a[9]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(a_o_2),
        .datad(shift_out_42[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_9_.operation_mode="normal";
defparam shift_out_91_a_9_.output_mode="comb_only";
defparam shift_out_91_a_9_.lut_mask="3000";
defparam shift_out_91_a_9_.synch_mode="off";
defparam shift_out_91_a_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_10_ (
        .combout(shift_out_91[10]),
        .dataa(shift_out_sn_m7_i),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_64[18]),
        .datad(shift_out_91_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_10_.operation_mode="normal";
defparam shift_out_91_10_.output_mode="comb_only";
defparam shift_out_91_10_.lut_mask="10dc";
defparam shift_out_91_10_.synch_mode="off";
defparam shift_out_91_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_10_ (
        .combout(shift_out_91_a[10]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_10),
        .datad(shift_out_79[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_10_.operation_mode="normal";
defparam shift_out_91_a_10_.output_mode="comb_only";
defparam shift_out_91_a_10_.lut_mask="0c3f";
defparam shift_out_91_a_10_.synch_mode="off";
defparam shift_out_91_a_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_11_ (
        .combout(shift_out_91_11),
        .dataa(shift_out_sn_m7_i),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_91_a[11]),
        .datad(shift_out_88[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_11_.operation_mode="normal";
defparam shift_out_91_11_.output_mode="comb_only";
defparam shift_out_91_11_.lut_mask="dc10";
defparam shift_out_91_11_.synch_mode="off";
defparam shift_out_91_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_11_ (
        .combout(shift_out_91_a[11]),
        .dataa(a_o_2),
        .datab(a_o_0),
        .datac(a_o_1),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_11_.operation_mode="normal";
defparam shift_out_91_a_11_.output_mode="comb_only";
defparam shift_out_91_a_11_.lut_mask="0200";
defparam shift_out_91_a_11_.synch_mode="off";
defparam shift_out_91_a_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_12_ (
        .combout(shift_out_89_4_0_6),
        .dataa(shift_out586),
        .datab(b_o_iv_11),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_12_.operation_mode="normal";
defparam shift_out_89_4_0_12_.output_mode="comb_only";
defparam shift_out_89_4_0_12_.lut_mask="80df";
defparam shift_out_89_4_0_12_.synch_mode="off";
defparam shift_out_89_4_0_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_12_ (
        .combout(shift_out_89_4_0_a[12]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[12]),
        .datad(shift_out_89_2_0[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_12_.operation_mode="normal";
defparam shift_out_89_4_0_a_12_.output_mode="comb_only";
defparam shift_out_89_4_0_a_12_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_12_.synch_mode="off";
defparam shift_out_89_4_0_a_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_16_ (
        .combout(shift_out_89_4_0_10),
        .dataa(shift_out586),
        .datab(b_o_iv_15),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_16_.operation_mode="normal";
defparam shift_out_89_4_0_16_.output_mode="comb_only";
defparam shift_out_89_4_0_16_.lut_mask="80df";
defparam shift_out_89_4_0_16_.synch_mode="off";
defparam shift_out_89_4_0_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_16_ (
        .combout(shift_out_89_4_0_a[16]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[16]),
        .datad(shift_out_89_2_0[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_16_.operation_mode="normal";
defparam shift_out_89_4_0_a_16_.output_mode="comb_only";
defparam shift_out_89_4_0_a_16_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_16_.synch_mode="off";
defparam shift_out_89_4_0_a_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_17_ (
        .combout(shift_out_89_4_0_11),
        .dataa(shift_out586),
        .datab(b_o_iv_16),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_17_.operation_mode="normal";
defparam shift_out_89_4_0_17_.output_mode="comb_only";
defparam shift_out_89_4_0_17_.lut_mask="80df";
defparam shift_out_89_4_0_17_.synch_mode="off";
defparam shift_out_89_4_0_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_17_ (
        .combout(shift_out_89_4_0_a[17]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[17]),
        .datad(shift_out_89_2_0[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_17_.operation_mode="normal";
defparam shift_out_89_4_0_a_17_.output_mode="comb_only";
defparam shift_out_89_4_0_a_17_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_17_.synch_mode="off";
defparam shift_out_89_4_0_a_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_18_ (
        .combout(shift_out_89_4_0_12),
        .dataa(shift_out586),
        .datab(b_o_iv_17),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_18_.operation_mode="normal";
defparam shift_out_89_4_0_18_.output_mode="comb_only";
defparam shift_out_89_4_0_18_.lut_mask="80df";
defparam shift_out_89_4_0_18_.synch_mode="off";
defparam shift_out_89_4_0_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_18_ (
        .combout(shift_out_89_4_0_a[18]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[18]),
        .datad(shift_out_89_2_0[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_18_.operation_mode="normal";
defparam shift_out_89_4_0_a_18_.output_mode="comb_only";
defparam shift_out_89_4_0_a_18_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_18_.synch_mode="off";
defparam shift_out_89_4_0_a_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_19_ (
        .combout(shift_out_89_4_0_13),
        .dataa(shift_out586),
        .datab(b_o_iv_18),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_19_.operation_mode="normal";
defparam shift_out_89_4_0_19_.output_mode="comb_only";
defparam shift_out_89_4_0_19_.lut_mask="80df";
defparam shift_out_89_4_0_19_.synch_mode="off";
defparam shift_out_89_4_0_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_19_ (
        .combout(shift_out_89_4_0_a[19]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[19]),
        .datad(shift_out_89_2_0[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_19_.operation_mode="normal";
defparam shift_out_89_4_0_a_19_.output_mode="comb_only";
defparam shift_out_89_4_0_a_19_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_19_.synch_mode="off";
defparam shift_out_89_4_0_a_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_20_ (
        .combout(shift_out_89_2_0_14),
        .dataa(shift_out586),
        .datab(b_o_iv_19),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_2_0_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_20_.operation_mode="normal";
defparam shift_out_89_2_0_20_.output_mode="comb_only";
defparam shift_out_89_2_0_20_.lut_mask="80df";
defparam shift_out_89_2_0_20_.synch_mode="off";
defparam shift_out_89_2_0_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_a_20_ (
        .combout(shift_out_89_2_0_a[20]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_1_0_d[20]),
        .datad(shift_out_87[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_a_20_.operation_mode="normal";
defparam shift_out_89_2_0_a_20_.output_mode="comb_only";
defparam shift_out_89_2_0_a_20_.lut_mask="0c3f";
defparam shift_out_89_2_0_a_20_.synch_mode="off";
defparam shift_out_89_2_0_a_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_21_ (
        .combout(shift_out_89_2_0_15),
        .dataa(shift_out586),
        .datab(b_o_iv_20),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_2_0_a[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_21_.operation_mode="normal";
defparam shift_out_89_2_0_21_.output_mode="comb_only";
defparam shift_out_89_2_0_21_.lut_mask="80df";
defparam shift_out_89_2_0_21_.synch_mode="off";
defparam shift_out_89_2_0_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_a_21_ (
        .combout(shift_out_89_2_0_a[21]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_1_0_d[21]),
        .datad(shift_out_87[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_a_21_.operation_mode="normal";
defparam shift_out_89_2_0_a_21_.output_mode="comb_only";
defparam shift_out_89_2_0_a_21_.lut_mask="0c3f";
defparam shift_out_89_2_0_a_21_.synch_mode="off";
defparam shift_out_89_2_0_a_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_22_ (
        .combout(shift_out_89_2_0_16),
        .dataa(shift_out586),
        .datab(b_o_iv_21),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_2_0_a[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_22_.operation_mode="normal";
defparam shift_out_89_2_0_22_.output_mode="comb_only";
defparam shift_out_89_2_0_22_.lut_mask="80df";
defparam shift_out_89_2_0_22_.synch_mode="off";
defparam shift_out_89_2_0_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_a_22_ (
        .combout(shift_out_89_2_0_a[22]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_1_0_d[22]),
        .datad(shift_out_87[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_a_22_.operation_mode="normal";
defparam shift_out_89_2_0_a_22_.output_mode="comb_only";
defparam shift_out_89_2_0_a_22_.lut_mask="0c3f";
defparam shift_out_89_2_0_a_22_.synch_mode="off";
defparam shift_out_89_2_0_a_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_23_ (
        .combout(shift_out_89_2_0_17),
        .dataa(shift_out586),
        .datab(b_o_iv_22),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_2_0_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_23_.operation_mode="normal";
defparam shift_out_89_2_0_23_.output_mode="comb_only";
defparam shift_out_89_2_0_23_.lut_mask="80df";
defparam shift_out_89_2_0_23_.synch_mode="off";
defparam shift_out_89_2_0_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_a_23_ (
        .combout(shift_out_89_2_0_a[23]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_1_0_d[23]),
        .datad(shift_out_87[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_a_23_.operation_mode="normal";
defparam shift_out_89_2_0_a_23_.output_mode="comb_only";
defparam shift_out_89_2_0_a_23_.lut_mask="0c3f";
defparam shift_out_89_2_0_a_23_.synch_mode="off";
defparam shift_out_89_2_0_a_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_6_ (
        .combout(shift_out_89_4_0_0),
        .dataa(shift_out586),
        .datab(b_o_iv_5),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_6_.operation_mode="normal";
defparam shift_out_89_4_0_6_.output_mode="comb_only";
defparam shift_out_89_4_0_6_.lut_mask="80df";
defparam shift_out_89_4_0_6_.synch_mode="off";
defparam shift_out_89_4_0_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_6_ (
        .combout(shift_out_89_4_0_a[6]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[6]),
        .datad(shift_out_89_2_0[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_6_.operation_mode="normal";
defparam shift_out_89_4_0_a_6_.output_mode="comb_only";
defparam shift_out_89_4_0_a_6_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_6_.synch_mode="off";
defparam shift_out_89_4_0_a_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_7_ (
        .combout(shift_out_89_4_0_1),
        .dataa(shift_out586),
        .datab(b_o_iv_6),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_7_.operation_mode="normal";
defparam shift_out_89_4_0_7_.output_mode="comb_only";
defparam shift_out_89_4_0_7_.lut_mask="80df";
defparam shift_out_89_4_0_7_.synch_mode="off";
defparam shift_out_89_4_0_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_7_ (
        .combout(shift_out_89_4_0_a[7]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[7]),
        .datad(shift_out_89_2_0[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_7_.operation_mode="normal";
defparam shift_out_89_4_0_a_7_.output_mode="comb_only";
defparam shift_out_89_4_0_a_7_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_7_.synch_mode="off";
defparam shift_out_89_4_0_a_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_9_ (
        .combout(shift_out_89_4_0_3),
        .dataa(shift_out586),
        .datab(b_o_iv_8),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_9_.operation_mode="normal";
defparam shift_out_89_4_0_9_.output_mode="comb_only";
defparam shift_out_89_4_0_9_.lut_mask="80df";
defparam shift_out_89_4_0_9_.synch_mode="off";
defparam shift_out_89_4_0_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_9_ (
        .combout(shift_out_89_4_0_a[9]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[9]),
        .datad(shift_out_89_2_0[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_9_.operation_mode="normal";
defparam shift_out_89_4_0_a_9_.output_mode="comb_only";
defparam shift_out_89_4_0_a_9_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_9_.synch_mode="off";
defparam shift_out_89_4_0_a_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_10_ (
        .combout(shift_out_89_4_0_4),
        .dataa(shift_out586),
        .datab(b_o_iv_9),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_10_.operation_mode="normal";
defparam shift_out_89_4_0_10_.output_mode="comb_only";
defparam shift_out_89_4_0_10_.lut_mask="80df";
defparam shift_out_89_4_0_10_.synch_mode="off";
defparam shift_out_89_4_0_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_10_ (
        .combout(shift_out_89_4_0_a[10]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[10]),
        .datad(shift_out_89_2_0[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_10_.operation_mode="normal";
defparam shift_out_89_4_0_a_10_.output_mode="comb_only";
defparam shift_out_89_4_0_a_10_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_10_.synch_mode="off";
defparam shift_out_89_4_0_a_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_11_ (
        .combout(shift_out_89_4_0_5),
        .dataa(shift_out586),
        .datab(b_o_iv_10),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_11_.operation_mode="normal";
defparam shift_out_89_4_0_11_.output_mode="comb_only";
defparam shift_out_89_4_0_11_.lut_mask="80df";
defparam shift_out_89_4_0_11_.synch_mode="off";
defparam shift_out_89_4_0_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_11_ (
        .combout(shift_out_89_4_0_a[11]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[11]),
        .datad(shift_out_89_2_0[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_11_.operation_mode="normal";
defparam shift_out_89_4_0_a_11_.output_mode="comb_only";
defparam shift_out_89_4_0_a_11_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_11_.synch_mode="off";
defparam shift_out_89_4_0_a_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_13_ (
        .combout(shift_out_89_4_0_7),
        .dataa(shift_out586),
        .datab(b_o_iv_0_0),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_13_.operation_mode="normal";
defparam shift_out_89_4_0_13_.output_mode="comb_only";
defparam shift_out_89_4_0_13_.lut_mask="80df";
defparam shift_out_89_4_0_13_.synch_mode="off";
defparam shift_out_89_4_0_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_13_ (
        .combout(shift_out_89_4_0_a[13]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[13]),
        .datad(shift_out_89_2_0[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_13_.operation_mode="normal";
defparam shift_out_89_4_0_a_13_.output_mode="comb_only";
defparam shift_out_89_4_0_a_13_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_13_.synch_mode="off";
defparam shift_out_89_4_0_a_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_14_ (
        .combout(shift_out_89_4_0_8),
        .dataa(shift_out586),
        .datab(b_o_iv_13),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_14_.operation_mode="normal";
defparam shift_out_89_4_0_14_.output_mode="comb_only";
defparam shift_out_89_4_0_14_.lut_mask="80df";
defparam shift_out_89_4_0_14_.synch_mode="off";
defparam shift_out_89_4_0_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_14_ (
        .combout(shift_out_89_4_0_a[14]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[14]),
        .datad(shift_out_89_2_0[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_14_.operation_mode="normal";
defparam shift_out_89_4_0_a_14_.output_mode="comb_only";
defparam shift_out_89_4_0_a_14_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_14_.synch_mode="off";
defparam shift_out_89_4_0_a_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_15_ (
        .combout(shift_out_89_4_0_9),
        .dataa(shift_out586),
        .datab(b_o_iv_14),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_15_.operation_mode="normal";
defparam shift_out_89_4_0_15_.output_mode="comb_only";
defparam shift_out_89_4_0_15_.lut_mask="80df";
defparam shift_out_89_4_0_15_.synch_mode="off";
defparam shift_out_89_4_0_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_15_ (
        .combout(shift_out_89_4_0_a[15]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[15]),
        .datad(shift_out_89_2_0[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_15_.operation_mode="normal";
defparam shift_out_89_4_0_a_15_.output_mode="comb_only";
defparam shift_out_89_4_0_a_15_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_15_.synch_mode="off";
defparam shift_out_89_4_0_a_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_8_ (
        .combout(shift_out_89_4_0_2),
        .dataa(shift_out586),
        .datab(b_o_iv_7),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_89_4_0_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_8_.operation_mode="normal";
defparam shift_out_89_4_0_8_.output_mode="comb_only";
defparam shift_out_89_4_0_8_.lut_mask="80df";
defparam shift_out_89_4_0_8_.synch_mode="off";
defparam shift_out_89_4_0_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_4_0_a_8_ (
        .combout(shift_out_89_4_0_a[8]),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_3_0_d[8]),
        .datad(shift_out_89_2_0[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_4_0_a_8_.operation_mode="normal";
defparam shift_out_89_4_0_a_8_.output_mode="comb_only";
defparam shift_out_89_4_0_a_8_.lut_mask="0c3f";
defparam shift_out_89_4_0_a_8_.synch_mode="off";
defparam shift_out_89_4_0_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_2_0_8_ (
        .combout(shift_out_86_2_0_0),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_86_2_0_a[8]),
        .datad(shift_out_86_1_0[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_2_0_8_.operation_mode="normal";
defparam shift_out_86_2_0_8_.output_mode="comb_only";
defparam shift_out_86_2_0_8_.lut_mask="cf03";
defparam shift_out_86_2_0_8_.synch_mode="off";
defparam shift_out_86_2_0_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_2_0_a_8_ (
        .combout(shift_out_86_2_0_a[8]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_79[12]),
        .datad(shift_out_79[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_2_0_a_8_.operation_mode="normal";
defparam shift_out_86_2_0_a_8_.output_mode="comb_only";
defparam shift_out_86_2_0_a_8_.lut_mask="03cf";
defparam shift_out_86_2_0_a_8_.synch_mode="off";
defparam shift_out_86_2_0_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_2_0_28_ (
        .combout(shift_out_84_2_0[28]),
        .dataa(VCC),
        .datab(a_o_4),
        .datac(shift_out_77[28]),
        .datad(shift_out_84_2_0_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_2_0_28_.operation_mode="normal";
defparam shift_out_84_2_0_28_.output_mode="comb_only";
defparam shift_out_84_2_0_28_.lut_mask="30fc";
defparam shift_out_84_2_0_28_.synch_mode="off";
defparam shift_out_84_2_0_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_2_0_a_28_ (
        .combout(shift_out_84_2_0_a[28]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_63[20]),
        .datad(shift_out_63[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_2_0_a_28_.operation_mode="normal";
defparam shift_out_84_2_0_a_28_.output_mode="comb_only";
defparam shift_out_84_2_0_a_28_.lut_mask="0c3f";
defparam shift_out_84_2_0_a_28_.synch_mode="off";
defparam shift_out_84_2_0_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_2_0_29_ (
        .combout(shift_out_84_2_0[29]),
        .dataa(VCC),
        .datab(a_o_4),
        .datac(shift_out_77[29]),
        .datad(shift_out_84_2_0_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_2_0_29_.operation_mode="normal";
defparam shift_out_84_2_0_29_.output_mode="comb_only";
defparam shift_out_84_2_0_29_.lut_mask="30fc";
defparam shift_out_84_2_0_29_.synch_mode="off";
defparam shift_out_84_2_0_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_2_0_a_29_ (
        .combout(shift_out_84_2_0_a[29]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_63[21]),
        .datad(shift_out_63[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_2_0_a_29_.operation_mode="normal";
defparam shift_out_84_2_0_a_29_.output_mode="comb_only";
defparam shift_out_84_2_0_a_29_.lut_mask="0c3f";
defparam shift_out_84_2_0_a_29_.synch_mode="off";
defparam shift_out_84_2_0_a_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_2_0_30_ (
        .combout(shift_out_84_2_0[30]),
        .dataa(VCC),
        .datab(a_o_4),
        .datac(shift_out_77[30]),
        .datad(shift_out_84_1_0[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_2_0_30_.operation_mode="normal";
defparam shift_out_84_2_0_30_.output_mode="comb_only";
defparam shift_out_84_2_0_30_.lut_mask="fc30";
defparam shift_out_84_2_0_30_.synch_mode="off";
defparam shift_out_84_2_0_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_9_ (
        .combout(shift_out_90_1[9]),
        .dataa(shift_out586),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_90_1_a[9]),
        .datad(shift_out_74[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_9_.operation_mode="normal";
defparam shift_out_90_1_9_.output_mode="comb_only";
defparam shift_out_90_1_9_.lut_mask="d580";
defparam shift_out_90_1_9_.synch_mode="off";
defparam shift_out_90_1_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_9_ (
        .combout(shift_out_90_1_a[9]),
        .dataa(a_o_4),
        .datab(a_o_0),
        .datac(b_o_iv_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_9_.operation_mode="normal";
defparam shift_out_90_1_a_9_.output_mode="comb_only";
defparam shift_out_90_1_a_9_.lut_mask="5410";
defparam shift_out_90_1_a_9_.synch_mode="off";
defparam shift_out_90_1_a_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_10_ (
        .combout(shift_out_90_1[10]),
        .dataa(shift_out586),
        .datab(a_o_4),
        .datac(shift_out_77[10]),
        .datad(shift_out_90_1_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_10_.operation_mode="normal";
defparam shift_out_90_1_10_.output_mode="comb_only";
defparam shift_out_90_1_10_.lut_mask="2075";
defparam shift_out_90_1_10_.synch_mode="off";
defparam shift_out_90_1_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_10_ (
        .combout(shift_out_90_1_a[10]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(b_o_iv_31),
        .datad(shift_out_61[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_10_.operation_mode="normal";
defparam shift_out_90_1_a_10_.output_mode="comb_only";
defparam shift_out_90_1_a_10_.lut_mask="0c3f";
defparam shift_out_90_1_a_10_.synch_mode="off";
defparam shift_out_90_1_a_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_22_ (
        .combout(shift_out_90_0[22]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_90_0_a[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_22_.operation_mode="normal";
defparam shift_out_90_0_22_.output_mode="comb_only";
defparam shift_out_90_0_22_.lut_mask="dc10";
defparam shift_out_90_0_22_.synch_mode="off";
defparam shift_out_90_0_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_a_22_ (
        .combout(shift_out_90_0_a[22]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_45[30]),
        .datad(shift_out_43[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_a_22_.operation_mode="normal";
defparam shift_out_90_0_a_22_.output_mode="comb_only";
defparam shift_out_90_0_a_22_.lut_mask="5410";
defparam shift_out_90_0_a_22_.synch_mode="off";
defparam shift_out_90_0_a_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_23_ (
        .combout(shift_out_90_0[23]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(b_o_iv_31),
        .datad(shift_out_90_0_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_23_.operation_mode="normal";
defparam shift_out_90_0_23_.output_mode="comb_only";
defparam shift_out_90_0_23_.lut_mask="dc10";
defparam shift_out_90_0_23_.synch_mode="off";
defparam shift_out_90_0_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_a_23_ (
        .combout(shift_out_90_0_a[23]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_43[31]),
        .datad(shift_out_45[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_a_23_.operation_mode="normal";
defparam shift_out_90_0_a_23_.output_mode="comb_only";
defparam shift_out_90_0_a_23_.lut_mask="5140";
defparam shift_out_90_0_a_23_.synch_mode="off";
defparam shift_out_90_0_a_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_26_ (
        .combout(shift_out_90_3[26]),
        .dataa(shift_out586),
        .datab(shift_out_90_sn_m3_0),
        .datac(shift_out_77[10]),
        .datad(shift_out_90_3_a[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_26_.operation_mode="normal";
defparam shift_out_90_3_26_.output_mode="comb_only";
defparam shift_out_90_3_26_.lut_mask="31ec";
defparam shift_out_90_3_26_.synch_mode="off";
defparam shift_out_90_3_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_a_26_ (
        .combout(shift_out_90_3_a[26]),
        .dataa(shift_out587),
        .datab(shift_out_90_sn_m3_0),
        .datac(b_o_iv_31),
        .datad(shift_out_90_0[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_a_26_.operation_mode="normal";
defparam shift_out_90_3_a_26_.output_mode="comb_only";
defparam shift_out_90_3_a_26_.lut_mask="10dc";
defparam shift_out_90_3_a_26_.synch_mode="off";
defparam shift_out_90_3_a_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_11_ (
        .combout(shift_out_90_1[11]),
        .dataa(shift_out586),
        .datab(a_o_4),
        .datac(shift_out_77[11]),
        .datad(shift_out_90_1_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_11_.operation_mode="normal";
defparam shift_out_90_1_11_.output_mode="comb_only";
defparam shift_out_90_1_11_.lut_mask="2075";
defparam shift_out_90_1_11_.synch_mode="off";
defparam shift_out_90_1_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_11_ (
        .combout(shift_out_90_1_a[11]),
        .dataa(VCC),
        .datab(shift_out_84_1_0_s[31]),
        .datac(b_o_iv_31),
        .datad(shift_out_44[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_11_.operation_mode="normal";
defparam shift_out_90_1_a_11_.output_mode="comb_only";
defparam shift_out_90_1_a_11_.lut_mask="03cf";
defparam shift_out_90_1_a_11_.synch_mode="off";
defparam shift_out_90_1_a_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_2_17_ (
        .combout(shift_out_90_2_0),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(b_o_iv_31),
        .datad(shift_out_90_2_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_2_17_.operation_mode="normal";
defparam shift_out_90_2_17_.output_mode="comb_only";
defparam shift_out_90_2_17_.lut_mask="c0f3";
defparam shift_out_90_2_17_.synch_mode="off";
defparam shift_out_90_2_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_2_a_17_ (
        .combout(shift_out_90_2_a[17]),
        .dataa(shift_out587),
        .datab(a_o_2),
        .datac(shift_out_41[1]),
        .datad(shift_out_42[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_2_a_17_.operation_mode="normal";
defparam shift_out_90_2_a_17_.output_mode="comb_only";
defparam shift_out_90_2_a_17_.lut_mask="27af";
defparam shift_out_90_2_a_17_.synch_mode="off";
defparam shift_out_90_2_a_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_18_ (
        .combout(shift_out_90_0[18]),
        .dataa(a_o_4),
        .datab(a_o_3),
        .datac(shift_out_77[10]),
        .datad(shift_out_90_0[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_18_.operation_mode="normal";
defparam shift_out_90_0_18_.output_mode="comb_only";
defparam shift_out_90_0_18_.lut_mask="7520";
defparam shift_out_90_0_18_.synch_mode="off";
defparam shift_out_90_0_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_18_ (
        .combout(shift_out_90_1[18]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(b_o_iv_31),
        .datad(shift_out_83[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_18_.operation_mode="normal";
defparam shift_out_90_1_18_.output_mode="comb_only";
defparam shift_out_90_1_18_.lut_mask="f3c0";
defparam shift_out_90_1_18_.synch_mode="off";
defparam shift_out_90_1_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_u_0_ (
        .combout(shift_out_86_u_0),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_86_u_a[0]),
        .datad(shift_out_86_1[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_u_0_.operation_mode="normal";
defparam shift_out_86_u_0_.output_mode="comb_only";
defparam shift_out_86_u_0_.lut_mask="cf03";
defparam shift_out_86_u_0_.synch_mode="off";
defparam shift_out_86_u_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_u_a_0_ (
        .combout(shift_out_86_u_a[0]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_79[4]),
        .datad(shift_out_79[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_u_a_0_.operation_mode="normal";
defparam shift_out_86_u_a_0_.output_mode="comb_only";
defparam shift_out_86_u_a_0_.lut_mask="03cf";
defparam shift_out_86_u_a_0_.synch_mode="off";
defparam shift_out_86_u_a_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_0_ (
        .combout(shift_out_91_0),
        .dataa(VCC),
        .datab(shift_out_sn_m17_0),
        .datac(shift_out_91_a[0]),
        .datad(shift_out_76[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_0_.operation_mode="normal";
defparam shift_out_91_0_.output_mode="comb_only";
defparam shift_out_91_0_.lut_mask="3f0c";
defparam shift_out_91_0_.synch_mode="off";
defparam shift_out_91_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_91_a_0_ (
        .combout(shift_out_91_a[0]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_0_d0),
        .datad(shift_out_79[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_91_a_0_.operation_mode="normal";
defparam shift_out_91_a_0_.output_mode="comb_only";
defparam shift_out_91_a_0_.lut_mask="0c3f";
defparam shift_out_91_a_0_.synch_mode="off";
defparam shift_out_91_a_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_6_ (
        .combout(shift_out_86_4),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_86_a[6]),
        .datad(shift_out_74[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_6_.operation_mode="normal";
defparam shift_out_86_6_.output_mode="comb_only";
defparam shift_out_86_6_.lut_mask="cf03";
defparam shift_out_86_6_.synch_mode="off";
defparam shift_out_86_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_a_6_ (
        .combout(shift_out_86_a[6]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_79[10]),
        .datad(shift_out_79[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_a_6_.operation_mode="normal";
defparam shift_out_86_a_6_.output_mode="comb_only";
defparam shift_out_86_a_6_.lut_mask="03cf";
defparam shift_out_86_a_6_.synch_mode="off";
defparam shift_out_86_a_6_.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m31_i_cZ (
        .combout(shift_out_sn_m31_i),
        .dataa(shift_out_sn_m31_i_a),
        .datab(a_o_3),
        .datac(a_o_0),
        .datad(shift_out_63_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m31_i_cZ.operation_mode="normal";
defparam shift_out_sn_m31_i_cZ.output_mode="comb_only";
defparam shift_out_sn_m31_i_cZ.lut_mask="dfdd";
defparam shift_out_sn_m31_i_cZ.synch_mode="off";
defparam shift_out_sn_m31_i_cZ.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m31_i_a_cZ (
        .combout(shift_out_sn_m31_i_a),
        .dataa(shift_out588),
        .datab(shift_out587),
        .datac(shift_out586),
        .datad(a_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m31_i_a_cZ.operation_mode="normal";
defparam shift_out_sn_m31_i_a_cZ.output_mode="comb_only";
defparam shift_out_sn_m31_i_a_cZ.lut_mask="00fe";
defparam shift_out_sn_m31_i_a_cZ.synch_mode="off";
defparam shift_out_sn_m31_i_a_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_1_1_ (
        .combout(shift_out_89_1_0),
        .dataa(shift_out586),
        .datab(shift_out_73[1]),
        .datac(shift_out_89_1_a[1]),
        .datad(shift_out_73[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_1_1_.operation_mode="normal";
defparam shift_out_89_1_1_.output_mode="comb_only";
defparam shift_out_89_1_1_.lut_mask="e5e0";
defparam shift_out_89_1_1_.synch_mode="off";
defparam shift_out_89_1_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_1_a_1_ (
        .combout(shift_out_89_1_a[1]),
        .dataa(shift_out586),
        .datab(a_o_2),
        .datac(a_o_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_1_a_1_.operation_mode="normal";
defparam shift_out_89_1_a_1_.output_mode="comb_only";
defparam shift_out_89_1_a_1_.lut_mask="0705";
defparam shift_out_89_1_a_1_.synch_mode="off";
defparam shift_out_89_1_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_8_ (
        .combout(shift_out_89_2_0[8]),
        .dataa(VCC),
        .datab(b_o_iv_10),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_8_.operation_mode="normal";
defparam shift_out_89_2_0_8_.output_mode="comb_only";
defparam shift_out_89_2_0_8_.lut_mask="cfc0";
defparam shift_out_89_2_0_8_.synch_mode="off";
defparam shift_out_89_2_0_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_15_ (
        .combout(shift_out_89_2_0[15]),
        .dataa(VCC),
        .datab(b_o_iv_17),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_15_.operation_mode="normal";
defparam shift_out_89_2_0_15_.output_mode="comb_only";
defparam shift_out_89_2_0_15_.lut_mask="cfc0";
defparam shift_out_89_2_0_15_.synch_mode="off";
defparam shift_out_89_2_0_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_14_ (
        .combout(shift_out_89_2_0[14]),
        .dataa(VCC),
        .datab(shift_out_89_2_0_s[8]),
        .datac(b_o_iv_16),
        .datad(shift_out_89_2_0_d[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_14_.operation_mode="normal";
defparam shift_out_89_2_0_14_.output_mode="comb_only";
defparam shift_out_89_2_0_14_.lut_mask="f3c0";
defparam shift_out_89_2_0_14_.synch_mode="off";
defparam shift_out_89_2_0_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_13_ (
        .combout(shift_out_89_2_0[13]),
        .dataa(VCC),
        .datab(b_o_iv_15),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_13_.operation_mode="normal";
defparam shift_out_89_2_0_13_.output_mode="comb_only";
defparam shift_out_89_2_0_13_.lut_mask="cfc0";
defparam shift_out_89_2_0_13_.synch_mode="off";
defparam shift_out_89_2_0_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_11_ (
        .combout(shift_out_89_2_0[11]),
        .dataa(VCC),
        .datab(b_o_iv_13),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_11_.operation_mode="normal";
defparam shift_out_89_2_0_11_.output_mode="comb_only";
defparam shift_out_89_2_0_11_.lut_mask="cfc0";
defparam shift_out_89_2_0_11_.synch_mode="off";
defparam shift_out_89_2_0_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_10_ (
        .combout(shift_out_89_2_0[10]),
        .dataa(VCC),
        .datab(b_o_iv_0_0),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_10_.operation_mode="normal";
defparam shift_out_89_2_0_10_.output_mode="comb_only";
defparam shift_out_89_2_0_10_.lut_mask="cfc0";
defparam shift_out_89_2_0_10_.synch_mode="off";
defparam shift_out_89_2_0_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_9_ (
        .combout(shift_out_89_2_0[9]),
        .dataa(VCC),
        .datab(b_o_iv_11),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_9_.operation_mode="normal";
defparam shift_out_89_2_0_9_.output_mode="comb_only";
defparam shift_out_89_2_0_9_.lut_mask="cfc0";
defparam shift_out_89_2_0_9_.synch_mode="off";
defparam shift_out_89_2_0_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_7_ (
        .combout(shift_out_89_2_0[7]),
        .dataa(VCC),
        .datab(b_o_iv_9),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_7_.operation_mode="normal";
defparam shift_out_89_2_0_7_.output_mode="comb_only";
defparam shift_out_89_2_0_7_.lut_mask="cfc0";
defparam shift_out_89_2_0_7_.synch_mode="off";
defparam shift_out_89_2_0_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_6_ (
        .combout(shift_out_89_2_0[6]),
        .dataa(VCC),
        .datab(b_o_iv_8),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_6_.operation_mode="normal";
defparam shift_out_89_2_0_6_.output_mode="comb_only";
defparam shift_out_89_2_0_6_.lut_mask="c0cf";
defparam shift_out_89_2_0_6_.synch_mode="off";
defparam shift_out_89_2_0_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_a_6_ (
        .combout(shift_out_89_2_0_a[6]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_71[2]),
        .datad(shift_out_80[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_a_6_.operation_mode="normal";
defparam shift_out_89_2_0_a_6_.output_mode="comb_only";
defparam shift_out_89_2_0_a_6_.lut_mask="03cf";
defparam shift_out_89_2_0_a_6_.synch_mode="off";
defparam shift_out_89_2_0_a_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_23_ (
        .combout(shift_out_87[23]),
        .dataa(VCC),
        .datab(b_o_iv_25),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_87_d[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_23_.operation_mode="normal";
defparam shift_out_87_23_.output_mode="comb_only";
defparam shift_out_87_23_.lut_mask="cfc0";
defparam shift_out_87_23_.synch_mode="off";
defparam shift_out_87_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_22_ (
        .combout(shift_out_87[22]),
        .dataa(VCC),
        .datab(b_o_iv_24),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_87_d[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_22_.operation_mode="normal";
defparam shift_out_87_22_.output_mode="comb_only";
defparam shift_out_87_22_.lut_mask="cfc0";
defparam shift_out_87_22_.synch_mode="off";
defparam shift_out_87_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_21_ (
        .combout(shift_out_87[21]),
        .dataa(VCC),
        .datab(b_o_iv_23),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_87_d[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_21_.operation_mode="normal";
defparam shift_out_87_21_.output_mode="comb_only";
defparam shift_out_87_21_.lut_mask="cfc0";
defparam shift_out_87_21_.synch_mode="off";
defparam shift_out_87_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_20_ (
        .combout(shift_out_87[20]),
        .dataa(VCC),
        .datab(b_o_iv_22),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_87_d[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_20_.operation_mode="normal";
defparam shift_out_87_20_.output_mode="comb_only";
defparam shift_out_87_20_.lut_mask="cfc0";
defparam shift_out_87_20_.synch_mode="off";
defparam shift_out_87_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_19_ (
        .combout(shift_out_89_2_0[19]),
        .dataa(VCC),
        .datab(b_o_iv_21),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_19_.operation_mode="normal";
defparam shift_out_89_2_0_19_.output_mode="comb_only";
defparam shift_out_89_2_0_19_.lut_mask="cfc0";
defparam shift_out_89_2_0_19_.synch_mode="off";
defparam shift_out_89_2_0_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_18_ (
        .combout(shift_out_89_2_0[18]),
        .dataa(VCC),
        .datab(b_o_iv_20),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_18_.operation_mode="normal";
defparam shift_out_89_2_0_18_.output_mode="comb_only";
defparam shift_out_89_2_0_18_.lut_mask="cfc0";
defparam shift_out_89_2_0_18_.synch_mode="off";
defparam shift_out_89_2_0_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_17_ (
        .combout(shift_out_89_2_0[17]),
        .dataa(VCC),
        .datab(b_o_iv_19),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_17_.operation_mode="normal";
defparam shift_out_89_2_0_17_.output_mode="comb_only";
defparam shift_out_89_2_0_17_.lut_mask="cfc0";
defparam shift_out_89_2_0_17_.synch_mode="off";
defparam shift_out_89_2_0_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_16_ (
        .combout(shift_out_89_2_0[16]),
        .dataa(VCC),
        .datab(b_o_iv_18),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_16_.operation_mode="normal";
defparam shift_out_89_2_0_16_.output_mode="comb_only";
defparam shift_out_89_2_0_16_.lut_mask="cfc0";
defparam shift_out_89_2_0_16_.synch_mode="off";
defparam shift_out_89_2_0_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_12_ (
        .combout(shift_out_89_2_0[12]),
        .dataa(VCC),
        .datab(b_o_iv_14),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_89_2_0_d[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_12_.operation_mode="normal";
defparam shift_out_89_2_0_12_.output_mode="comb_only";
defparam shift_out_89_2_0_12_.lut_mask="cfc0";
defparam shift_out_89_2_0_12_.synch_mode="off";
defparam shift_out_89_2_0_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_24_ (
        .combout(shift_out_85[24]),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(shift_out_85_a[24]),
        .datad(shift_out_85_d[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_24_.operation_mode="normal";
defparam shift_out_85_24_.output_mode="comb_only";
defparam shift_out_85_24_.lut_mask="3f0c";
defparam shift_out_85_24_.synch_mode="off";
defparam shift_out_85_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_a_24_ (
        .combout(shift_out_85_a[24]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_18),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_a_24_.operation_mode="normal";
defparam shift_out_85_a_24_.output_mode="comb_only";
defparam shift_out_85_a_24_.lut_mask="03cf";
defparam shift_out_85_a_24_.synch_mode="off";
defparam shift_out_85_a_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_5_ (
        .combout(shift_out_87[5]),
        .dataa(VCC),
        .datab(b_o_iv_7),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_87_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_5_.operation_mode="normal";
defparam shift_out_87_5_.output_mode="comb_only";
defparam shift_out_87_5_.lut_mask="c0cf";
defparam shift_out_87_5_.synch_mode="off";
defparam shift_out_87_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_a_5_ (
        .combout(shift_out_87_a[5]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_71[1]),
        .datad(shift_out_80[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_a_5_.operation_mode="normal";
defparam shift_out_87_a_5_.output_mode="comb_only";
defparam shift_out_87_a_5_.lut_mask="03cf";
defparam shift_out_87_a_5_.synch_mode="off";
defparam shift_out_87_a_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_4_ (
        .combout(shift_out_87[4]),
        .dataa(VCC),
        .datab(b_o_iv_6),
        .datac(shift_out_89_2_0_s[8]),
        .datad(shift_out_87_d[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_4_.operation_mode="normal";
defparam shift_out_87_4_.output_mode="comb_only";
defparam shift_out_87_4_.lut_mask="cfc0";
defparam shift_out_87_4_.synch_mode="off";
defparam shift_out_87_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_31_ (
        .combout(shift_out_77[31]),
        .dataa(VCC),
        .datab(shift_out_77_a[31]),
        .datac(shift_out_77_s[31]),
        .datad(shift_out_77_d[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_31_.operation_mode="normal";
defparam shift_out_77_31_.output_mode="comb_only";
defparam shift_out_77_31_.lut_mask="3f30";
defparam shift_out_77_31_.synch_mode="off";
defparam shift_out_77_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_a_31_ (
        .combout(shift_out_77_a[31]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_21),
        .datad(b_o_iv_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_a_31_.operation_mode="normal";
defparam shift_out_77_a_31_.output_mode="comb_only";
defparam shift_out_77_a_31_.lut_mask="03cf";
defparam shift_out_77_a_31_.synch_mode="off";
defparam shift_out_77_a_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_28_ (
        .combout(shift_out_85[28]),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(shift_out_85_a[28]),
        .datad(shift_out_85_d[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_28_.operation_mode="normal";
defparam shift_out_85_28_.output_mode="comb_only";
defparam shift_out_85_28_.lut_mask="3f0c";
defparam shift_out_85_28_.synch_mode="off";
defparam shift_out_85_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_a_28_ (
        .combout(shift_out_85_a[28]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_21),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_a_28_.operation_mode="normal";
defparam shift_out_85_a_28_.output_mode="comb_only";
defparam shift_out_85_a_28_.lut_mask="0c3f";
defparam shift_out_85_a_28_.synch_mode="off";
defparam shift_out_85_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_27_ (
        .combout(shift_out_85[27]),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(shift_out_77_a[31]),
        .datad(shift_out_85_d[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_27_.operation_mode="normal";
defparam shift_out_85_27_.output_mode="comb_only";
defparam shift_out_85_27_.lut_mask="3f0c";
defparam shift_out_85_27_.synch_mode="off";
defparam shift_out_85_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_26_ (
        .combout(shift_out_85_2),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(shift_out_85_a[26]),
        .datad(shift_out_85_d[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_26_.operation_mode="normal";
defparam shift_out_85_26_.output_mode="comb_only";
defparam shift_out_85_26_.lut_mask="3f0c";
defparam shift_out_85_26_.synch_mode="off";
defparam shift_out_85_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_a_26_ (
        .combout(shift_out_85_a[26]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_20),
        .datad(b_o_iv_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_a_26_.operation_mode="normal";
defparam shift_out_85_a_26_.output_mode="comb_only";
defparam shift_out_85_a_26_.lut_mask="03cf";
defparam shift_out_85_a_26_.synch_mode="off";
defparam shift_out_85_a_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_26_ (
        .combout(shift_out_87_24),
        .dataa(VCC),
        .datab(shift_out_89_2_0_s[8]),
        .datac(b_o_iv_0_16),
        .datad(shift_out_87_d[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_26_.operation_mode="normal";
defparam shift_out_87_26_.output_mode="comb_only";
defparam shift_out_87_26_.lut_mask="f3c0";
defparam shift_out_87_26_.synch_mode="off";
defparam shift_out_87_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_25_ (
        .combout(shift_out_85[25]),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(shift_out_85_a[25]),
        .datad(shift_out_85_d[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_25_.operation_mode="normal";
defparam shift_out_85_25_.output_mode="comb_only";
defparam shift_out_85_25_.lut_mask="3f0c";
defparam shift_out_85_25_.synch_mode="off";
defparam shift_out_85_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_a_25_ (
        .combout(shift_out_85_a[25]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_19),
        .datad(b_o_iv_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_a_25_.operation_mode="normal";
defparam shift_out_85_a_25_.output_mode="comb_only";
defparam shift_out_85_a_25_.lut_mask="03cf";
defparam shift_out_85_a_25_.synch_mode="off";
defparam shift_out_85_a_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_27_ (
        .combout(shift_out_90_1[27]),
        .dataa(VCC),
        .datab(shift_out_90_1_a[27]),
        .datac(shift_out_77_s[31]),
        .datad(shift_out_90_1_d[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_27_.operation_mode="normal";
defparam shift_out_90_1_27_.output_mode="comb_only";
defparam shift_out_90_1_27_.lut_mask="3f30";
defparam shift_out_90_1_27_.synch_mode="off";
defparam shift_out_90_1_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_27_ (
        .combout(shift_out_90_1_a[27]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_17),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_27_.operation_mode="normal";
defparam shift_out_90_1_a_27_.output_mode="comb_only";
defparam shift_out_90_1_a_27_.lut_mask="03cf";
defparam shift_out_90_1_a_27_.synch_mode="off";
defparam shift_out_90_1_a_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_25_ (
        .combout(shift_out_90_1[25]),
        .dataa(VCC),
        .datab(shift_out_90_1_a[25]),
        .datac(shift_out_77_s[31]),
        .datad(shift_out_90_1_d[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_25_.operation_mode="normal";
defparam shift_out_90_1_25_.output_mode="comb_only";
defparam shift_out_90_1_25_.lut_mask="3f30";
defparam shift_out_90_1_25_.synch_mode="off";
defparam shift_out_90_1_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_25_ (
        .combout(shift_out_90_1_a[25]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_14),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_25_.operation_mode="normal";
defparam shift_out_90_1_a_25_.output_mode="comb_only";
defparam shift_out_90_1_a_25_.lut_mask="0c3f";
defparam shift_out_90_1_a_25_.synch_mode="off";
defparam shift_out_90_1_a_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_24_ (
        .combout(shift_out_90_1[24]),
        .dataa(VCC),
        .datab(shift_out_90_1_a[24]),
        .datac(shift_out_77_s[31]),
        .datad(shift_out_90_1_d[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_24_.operation_mode="normal";
defparam shift_out_90_1_24_.output_mode="comb_only";
defparam shift_out_90_1_24_.lut_mask="3f30";
defparam shift_out_90_1_24_.synch_mode="off";
defparam shift_out_90_1_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_24_ (
        .combout(shift_out_90_1_a[24]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_14),
        .datad(b_o_iv_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_24_.operation_mode="normal";
defparam shift_out_90_1_a_24_.output_mode="comb_only";
defparam shift_out_90_1_a_24_.lut_mask="03cf";
defparam shift_out_90_1_a_24_.synch_mode="off";
defparam shift_out_90_1_a_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_2_ (
        .combout(shift_out_74[2]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_74_a[2]),
        .datad(shift_out_61[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_2_.operation_mode="normal";
defparam shift_out_74_2_.output_mode="comb_only";
defparam shift_out_74_2_.lut_mask="cf03";
defparam shift_out_74_2_.synch_mode="off";
defparam shift_out_74_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_a_2_ (
        .combout(shift_out_74_a[2]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_79[10]),
        .datad(shift_out_79[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_a_2_.operation_mode="normal";
defparam shift_out_74_a_2_.output_mode="comb_only";
defparam shift_out_74_a_2_.lut_mask="03cf";
defparam shift_out_74_a_2_.synch_mode="off";
defparam shift_out_74_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_5_ (
        .combout(shift_out_74[5]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_61[13]),
        .datad(shift_out_74_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_5_.operation_mode="normal";
defparam shift_out_74_5_.output_mode="comb_only";
defparam shift_out_74_5_.lut_mask="c0f3";
defparam shift_out_74_5_.synch_mode="off";
defparam shift_out_74_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_a_5_ (
        .combout(shift_out_74_a[5]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_47[1]),
        .datad(shift_out_44[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_a_5_.operation_mode="normal";
defparam shift_out_74_a_5_.output_mode="comb_only";
defparam shift_out_74_a_5_.lut_mask="03cf";
defparam shift_out_74_a_5_.synch_mode="off";
defparam shift_out_74_a_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_9_ (
        .combout(shift_out_74[9]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(b_o_iv_31),
        .datad(shift_out_61[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_9_.operation_mode="normal";
defparam shift_out_74_9_.output_mode="comb_only";
defparam shift_out_74_9_.lut_mask="f3c0";
defparam shift_out_74_9_.synch_mode="off";
defparam shift_out_74_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_76_2_ (
        .combout(shift_out_76[2]),
        .dataa(shift_out587),
        .datab(shift_out_sn_m7_i),
        .datac(shift_out_76_a[2]),
        .datad(shift_out_64[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_76_2_.operation_mode="normal";
defparam shift_out_76_2_.output_mode="comb_only";
defparam shift_out_76_2_.lut_mask="b380";
defparam shift_out_76_2_.synch_mode="off";
defparam shift_out_76_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_76_a_2_ (
        .combout(shift_out_76_a[2]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_30),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_76_a_2_.operation_mode="normal";
defparam shift_out_76_a_2_.output_mode="comb_only";
defparam shift_out_76_a_2_.lut_mask="3210";
defparam shift_out_76_a_2_.synch_mode="off";
defparam shift_out_76_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_76_3_ (
        .combout(shift_out_76[3]),
        .dataa(shift_out587),
        .datab(a_o_2),
        .datac(shift_out_44[3]),
        .datad(shift_out_76_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_76_3_.operation_mode="normal";
defparam shift_out_76_3_.output_mode="comb_only";
defparam shift_out_76_3_.lut_mask="20a8";
defparam shift_out_76_3_.synch_mode="off";
defparam shift_out_76_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_76_a_3_ (
        .combout(shift_out_76_a[3]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_47[3]),
        .datad(shift_out_42[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_76_a_3_.operation_mode="normal";
defparam shift_out_76_a_3_.output_mode="comb_only";
defparam shift_out_76_a_3_.lut_mask="03cf";
defparam shift_out_76_a_3_.synch_mode="off";
defparam shift_out_76_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_12_ (
        .combout(shift_out_77[12]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_77_a[12]),
        .datad(shift_out_85_d[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_12_.operation_mode="normal";
defparam shift_out_77_12_.output_mode="comb_only";
defparam shift_out_77_12_.lut_mask="3f0c";
defparam shift_out_77_12_.synch_mode="off";
defparam shift_out_77_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_a_12_ (
        .combout(shift_out_77_a[12]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_3),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_a_12_.operation_mode="normal";
defparam shift_out_77_a_12_.output_mode="comb_only";
defparam shift_out_77_a_12_.lut_mask="0c3f";
defparam shift_out_77_a_12_.synch_mode="off";
defparam shift_out_77_a_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_13_ (
        .combout(shift_out_77[13]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_77_a[13]),
        .datad(shift_out_85_d[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_13_.operation_mode="normal";
defparam shift_out_77_13_.output_mode="comb_only";
defparam shift_out_77_13_.lut_mask="3f0c";
defparam shift_out_77_13_.synch_mode="off";
defparam shift_out_77_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_a_13_ (
        .combout(shift_out_77_a[13]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_5),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_a_13_.operation_mode="normal";
defparam shift_out_77_a_13_.output_mode="comb_only";
defparam shift_out_77_a_13_.lut_mask="03cf";
defparam shift_out_77_a_13_.synch_mode="off";
defparam shift_out_77_a_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_17_ (
        .combout(shift_out_77[17]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_77_a[17]),
        .datad(shift_out_89_3_0_d[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_17_.operation_mode="normal";
defparam shift_out_77_17_.output_mode="comb_only";
defparam shift_out_77_17_.lut_mask="3f0c";
defparam shift_out_77_17_.synch_mode="off";
defparam shift_out_77_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_a_17_ (
        .combout(shift_out_77_a[17]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_9),
        .datad(b_o_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_a_17_.operation_mode="normal";
defparam shift_out_77_a_17_.output_mode="comb_only";
defparam shift_out_77_a_17_.lut_mask="03cf";
defparam shift_out_77_a_17_.synch_mode="off";
defparam shift_out_77_a_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_28_ (
        .combout(shift_out_77[28]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_85_a[26]),
        .datad(shift_out_89_1_0_d[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_28_.operation_mode="normal";
defparam shift_out_77_28_.output_mode="comb_only";
defparam shift_out_77_28_.lut_mask="3f0c";
defparam shift_out_77_28_.synch_mode="off";
defparam shift_out_77_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_29_ (
        .combout(shift_out_77[29]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_77_a[31]),
        .datad(shift_out_89_1_0_d[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_29_.operation_mode="normal";
defparam shift_out_77_29_.output_mode="comb_only";
defparam shift_out_77_29_.lut_mask="3f0c";
defparam shift_out_77_29_.synch_mode="off";
defparam shift_out_77_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_30_ (
        .combout(shift_out_77[30]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_85_a[28]),
        .datad(shift_out_89_1_0_d[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_30_.operation_mode="normal";
defparam shift_out_77_30_.output_mode="comb_only";
defparam shift_out_77_30_.lut_mask="3f0c";
defparam shift_out_77_30_.synch_mode="off";
defparam shift_out_77_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_83_18_ (
        .combout(shift_out_83[18]),
        .dataa(shift_out587),
        .datab(a_o_2),
        .datac(a_o_1),
        .datad(shift_out_83_a[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_83_18_.operation_mode="normal";
defparam shift_out_83_18_.output_mode="comb_only";
defparam shift_out_83_18_.lut_mask="005d";
defparam shift_out_83_18_.synch_mode="off";
defparam shift_out_83_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_83_a_18_ (
        .combout(shift_out_83_a[18]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_30),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_83_a_18_.operation_mode="normal";
defparam shift_out_83_a_18_.output_mode="comb_only";
defparam shift_out_83_a_18_.lut_mask="01ef";
defparam shift_out_83_a_18_.synch_mode="off";
defparam shift_out_83_a_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_3_ (
        .combout(shift_out_86[3]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_86_a[3]),
        .datad(shift_out_74[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_3_.operation_mode="normal";
defparam shift_out_86_3_.output_mode="comb_only";
defparam shift_out_86_3_.lut_mask="cf03";
defparam shift_out_86_3_.synch_mode="off";
defparam shift_out_86_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_a_3_ (
        .combout(shift_out_86_a[3]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_53[3]),
        .datad(shift_out_50[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_a_3_.operation_mode="normal";
defparam shift_out_86_a_3_.output_mode="comb_only";
defparam shift_out_86_a_3_.lut_mask="03cf";
defparam shift_out_86_a_3_.synch_mode="off";
defparam shift_out_86_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_4_ (
        .combout(shift_out_86_2),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_86_a[4]),
        .datad(shift_out_74[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_4_.operation_mode="normal";
defparam shift_out_86_4_.output_mode="comb_only";
defparam shift_out_86_4_.lut_mask="cf03";
defparam shift_out_86_4_.synch_mode="off";
defparam shift_out_86_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_a_4_ (
        .combout(shift_out_86_a[4]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_79[8]),
        .datad(shift_out_79[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_a_4_.operation_mode="normal";
defparam shift_out_86_a_4_.output_mode="comb_only";
defparam shift_out_86_a_4_.lut_mask="03cf";
defparam shift_out_86_a_4_.synch_mode="off";
defparam shift_out_86_a_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_19_ (
        .combout(shift_out_86[19]),
        .dataa(shift_out587),
        .datab(a_o_1),
        .datac(a_o_0),
        .datad(shift_out_86_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_19_.operation_mode="normal";
defparam shift_out_86_19_.output_mode="comb_only";
defparam shift_out_86_19_.lut_mask="0057";
defparam shift_out_86_19_.synch_mode="off";
defparam shift_out_86_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_a_19_ (
        .combout(shift_out_86_a[19]),
        .dataa(VCC),
        .datab(shift_out587),
        .datac(a_o_2),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_a_19_.operation_mode="normal";
defparam shift_out_86_a_19_.output_mode="comb_only";
defparam shift_out_86_a_19_.lut_mask="0cff";
defparam shift_out_86_a_19_.synch_mode="off";
defparam shift_out_86_a_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_ (
        .combout(shift_out_89_2),
        .dataa(shift_out586),
        .datab(a_o_2),
        .datac(shift_out_89_a[2]),
        .datad(shift_out_87[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_.operation_mode="normal";
defparam shift_out_89_2_.output_mode="comb_only";
defparam shift_out_89_2_.lut_mask="7520";
defparam shift_out_89_2_.synch_mode="off";
defparam shift_out_89_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_a_2_ (
        .combout(shift_out_89_a[2]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_a_2_.operation_mode="normal";
defparam shift_out_89_a_2_.output_mode="comb_only";
defparam shift_out_89_a_2_.lut_mask="7430";
defparam shift_out_89_a_2_.synch_mode="off";
defparam shift_out_89_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_ (
        .combout(shift_out_89_3),
        .dataa(shift_out586),
        .datab(a_o_0),
        .datac(shift_out_82[3]),
        .datad(shift_out_89_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_.operation_mode="normal";
defparam shift_out_89_3_.output_mode="comb_only";
defparam shift_out_89_3_.lut_mask="ba54";
defparam shift_out_89_3_.synch_mode="off";
defparam shift_out_89_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_a_3_ (
        .combout(shift_out_89_a[3]),
        .dataa(shift_out586),
        .datab(a_o_2),
        .datac(shift_out_80[3]),
        .datad(shift_out_81[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_a_3_.operation_mode="normal";
defparam shift_out_89_a_3_.output_mode="comb_only";
defparam shift_out_89_a_3_.lut_mask="2705";
defparam shift_out_89_a_3_.synch_mode="off";
defparam shift_out_89_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_75_20_ (
        .combout(shift_out_75[20]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_75_a[20]),
        .datad(shift_out_45[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_75_20_.operation_mode="normal";
defparam shift_out_75_20_.output_mode="comb_only";
defparam shift_out_75_20_.lut_mask="5140";
defparam shift_out_75_20_.synch_mode="off";
defparam shift_out_75_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_75_a_20_ (
        .combout(shift_out_75_a[20]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(a_o_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_75_a_20_.operation_mode="normal";
defparam shift_out_75_a_20_.output_mode="comb_only";
defparam shift_out_75_a_20_.lut_mask="0300";
defparam shift_out_75_a_20_.synch_mode="off";
defparam shift_out_75_a_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_75_21_ (
        .combout(shift_out_75[21]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_75_a[21]),
        .datad(shift_out_45[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_75_21_.operation_mode="normal";
defparam shift_out_75_21_.output_mode="comb_only";
defparam shift_out_75_21_.lut_mask="5140";
defparam shift_out_75_21_.synch_mode="off";
defparam shift_out_75_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_75_a_21_ (
        .combout(shift_out_75_a[21]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_75_a_21_.operation_mode="normal";
defparam shift_out_75_a_21_.output_mode="comb_only";
defparam shift_out_75_a_21_.lut_mask="3210";
defparam shift_out_75_a_21_.synch_mode="off";
defparam shift_out_75_a_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_2_0_7_ (
        .combout(shift_out_74_2_0[7]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_44[3]),
        .datad(shift_out_74_2_0_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_2_0_7_.operation_mode="normal";
defparam shift_out_74_2_0_7_.output_mode="comb_only";
defparam shift_out_74_2_0_7_.lut_mask="40fb";
defparam shift_out_74_2_0_7_.synch_mode="off";
defparam shift_out_74_2_0_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_2_0_a_7_ (
        .combout(shift_out_74_2_0_a[7]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(b_o_iv_31),
        .datad(shift_out_47[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_2_0_a_7_.operation_mode="normal";
defparam shift_out_74_2_0_a_7_.output_mode="comb_only";
defparam shift_out_74_2_0_a_7_.lut_mask="0c3f";
defparam shift_out_74_2_0_a_7_.synch_mode="off";
defparam shift_out_74_2_0_a_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_2_0_12_ (
        .combout(shift_out_86_2_0_4),
        .dataa(shift_out_90_sn_m1_3),
        .datab(shift_out_84_1_0_s[31]),
        .datac(b_o_iv_31),
        .datad(shift_out_86_2_0_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_2_0_12_.operation_mode="normal";
defparam shift_out_86_2_0_12_.output_mode="comb_only";
defparam shift_out_86_2_0_12_.lut_mask="20fd";
defparam shift_out_86_2_0_12_.synch_mode="off";
defparam shift_out_86_2_0_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_2_0_a_12_ (
        .combout(shift_out_86_2_0_a[12]),
        .dataa(shift_out_90_sn_m1_3),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_79[16]),
        .datad(shift_out_41[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_2_0_a_12_.operation_mode="normal";
defparam shift_out_86_2_0_a_12_.output_mode="comb_only";
defparam shift_out_86_2_0_a_12_.lut_mask="01ef";
defparam shift_out_86_2_0_a_12_.synch_mode="off";
defparam shift_out_86_2_0_a_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_1_0_8_ (
        .combout(shift_out_86_1_0[8]),
        .dataa(a_o_3),
        .datab(shift_out_86_1_0_a[8]),
        .datac(shift_out_79[16]),
        .datad(shift_out_41[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_1_0_8_.operation_mode="normal";
defparam shift_out_86_1_0_8_.output_mode="comb_only";
defparam shift_out_86_1_0_8_.lut_mask="7362";
defparam shift_out_86_1_0_8_.synch_mode="off";
defparam shift_out_86_1_0_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_1_0_a_8_ (
        .combout(shift_out_86_1_0_a[8]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(a_o_2),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_1_0_a_8_.operation_mode="normal";
defparam shift_out_86_1_0_a_8_.output_mode="comb_only";
defparam shift_out_86_1_0_a_8_.lut_mask="03cf";
defparam shift_out_86_1_0_a_8_.synch_mode="off";
defparam shift_out_86_1_0_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_1_0_30_ (
        .combout(shift_out_84_1_0[30]),
        .dataa(a_o_3),
        .datab(shift_out_48[30]),
        .datac(shift_out_52[30]),
        .datad(shift_out_84_1_0_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_1_0_30_.operation_mode="normal";
defparam shift_out_84_1_0_30_.output_mode="comb_only";
defparam shift_out_84_1_0_30_.lut_mask="50ee";
defparam shift_out_84_1_0_30_.synch_mode="off";
defparam shift_out_84_1_0_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_1_0_a_30_ (
        .combout(shift_out_84_1_0_a[30]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_45[30]),
        .datad(shift_out_43[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_1_0_a_30_.operation_mode="normal";
defparam shift_out_84_1_0_a_30_.output_mode="comb_only";
defparam shift_out_84_1_0_a_30_.lut_mask="139b";
defparam shift_out_84_1_0_a_30_.synch_mode="off";
defparam shift_out_84_1_0_a_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_0_13_ (
        .combout(shift_out_90_1_0[13]),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_74[13]),
        .datad(shift_out_90_1_0_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_0_13_.operation_mode="normal";
defparam shift_out_90_1_0_13_.output_mode="comb_only";
defparam shift_out_90_1_0_13_.lut_mask="c0f3";
defparam shift_out_90_1_0_13_.synch_mode="off";
defparam shift_out_90_1_0_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_0_a_13_ (
        .combout(shift_out_90_1_0_a[13]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_44[1]),
        .datad(shift_out_42[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_0_a_13_.operation_mode="normal";
defparam shift_out_90_1_0_a_13_.output_mode="comb_only";
defparam shift_out_90_1_0_a_13_.lut_mask="03cf";
defparam shift_out_90_1_0_a_13_.synch_mode="off";
defparam shift_out_90_1_0_a_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_0_14_ (
        .combout(shift_out_90_1_0_1),
        .dataa(VCC),
        .datab(shift_out_90_sn_m1_3),
        .datac(shift_out_74[14]),
        .datad(shift_out_83[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_0_14_.operation_mode="normal";
defparam shift_out_90_1_0_14_.output_mode="comb_only";
defparam shift_out_90_1_0_14_.lut_mask="f3c0";
defparam shift_out_90_1_0_14_.synch_mode="off";
defparam shift_out_90_1_0_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_23_ (
        .combout(shift_out_90_1[23]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_90_1_a[25]),
        .datad(shift_out_89_3_0_d[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_23_.operation_mode="normal";
defparam shift_out_90_1_23_.output_mode="comb_only";
defparam shift_out_90_1_23_.lut_mask="3f0c";
defparam shift_out_90_1_23_.synch_mode="off";
defparam shift_out_90_1_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_2_24_ (
        .combout(shift_out_90_2[24]),
        .dataa(shift_out587),
        .datab(shift_out586),
        .datac(shift_out_90_0_a[16]),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_2_24_.operation_mode="normal";
defparam shift_out_90_2_24_.output_mode="comb_only";
defparam shift_out_90_2_24_.lut_mask="d1c0";
defparam shift_out_90_2_24_.synch_mode="off";
defparam shift_out_90_2_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_26_ (
        .combout(shift_out_90_1[26]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_85_a[24]),
        .datad(shift_out_89_3_0_d[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_26_.operation_mode="normal";
defparam shift_out_90_1_26_.output_mode="comb_only";
defparam shift_out_90_1_26_.lut_mask="3f0c";
defparam shift_out_90_1_26_.synch_mode="off";
defparam shift_out_90_1_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_16_ (
        .combout(shift_out_90_1[16]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_90_1_a[16]),
        .datad(shift_out_89_3_0_d[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_16_.operation_mode="normal";
defparam shift_out_90_1_16_.output_mode="comb_only";
defparam shift_out_90_1_16_.lut_mask="3f0c";
defparam shift_out_90_1_16_.synch_mode="off";
defparam shift_out_90_1_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_16_ (
        .combout(shift_out_90_1_a[16]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_7),
        .datad(b_o_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_16_.operation_mode="normal";
defparam shift_out_90_1_a_16_.output_mode="comb_only";
defparam shift_out_90_1_a_16_.lut_mask="0c3f";
defparam shift_out_90_1_a_16_.synch_mode="off";
defparam shift_out_90_1_a_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_19_ (
        .combout(shift_out_90_1[19]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_90_1_a[19]),
        .datad(shift_out_89_3_0_d[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_19_.operation_mode="normal";
defparam shift_out_90_1_19_.output_mode="comb_only";
defparam shift_out_90_1_19_.lut_mask="3f0c";
defparam shift_out_90_1_19_.synch_mode="off";
defparam shift_out_90_1_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_19_ (
        .combout(shift_out_90_1_a[19]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_10),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_19_.operation_mode="normal";
defparam shift_out_90_1_a_19_.output_mode="comb_only";
defparam shift_out_90_1_a_19_.lut_mask="0c3f";
defparam shift_out_90_1_a_19_.synch_mode="off";
defparam shift_out_90_1_a_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_1_0_1_ (
        .combout(shift_out_86_1_0[1]),
        .dataa(a_o_3),
        .datab(shift_out_44[1]),
        .datac(shift_out_41[1]),
        .datad(shift_out_86_1_0_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_1_0_1_.operation_mode="normal";
defparam shift_out_86_1_0_1_.output_mode="comb_only";
defparam shift_out_86_1_0_1_.lut_mask="88f5";
defparam shift_out_86_1_0_1_.synch_mode="off";
defparam shift_out_86_1_0_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_1_0_a_1_ (
        .combout(shift_out_86_1_0_a[1]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_50[1]),
        .datad(shift_out_47[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_1_0_a_1_.operation_mode="normal";
defparam shift_out_86_1_0_a_1_.output_mode="comb_only";
defparam shift_out_86_1_0_a_1_.lut_mask="2367";
defparam shift_out_86_1_0_a_1_.synch_mode="off";
defparam shift_out_86_1_0_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_76_1_ (
        .combout(shift_out_76[1]),
        .dataa(shift_out587),
        .datab(a_o_2),
        .datac(shift_out_44[1]),
        .datad(shift_out_76_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_76_1_.operation_mode="normal";
defparam shift_out_76_1_.output_mode="comb_only";
defparam shift_out_76_1_.lut_mask="20a8";
defparam shift_out_76_1_.synch_mode="off";
defparam shift_out_76_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_76_a_1_ (
        .combout(shift_out_76_a[1]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_47[1]),
        .datad(shift_out_42[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_76_a_1_.operation_mode="normal";
defparam shift_out_76_a_1_.output_mode="comb_only";
defparam shift_out_76_a_1_.lut_mask="03cf";
defparam shift_out_76_a_1_.synch_mode="off";
defparam shift_out_76_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_1_0_ (
        .combout(shift_out_86_1[0]),
        .dataa(a_o_3),
        .datab(shift_out_79[16]),
        .datac(shift_out_86_1_a[0]),
        .datad(shift_out_41[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_1_0_.operation_mode="normal";
defparam shift_out_86_1_0_.output_mode="comb_only";
defparam shift_out_86_1_0_.lut_mask="8f85";
defparam shift_out_86_1_0_.synch_mode="off";
defparam shift_out_86_1_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_86_1_a_0_ (
        .combout(shift_out_86_1_a[0]),
        .dataa(a_o_3),
        .datab(a_o_2),
        .datac(shift_out_79[8]),
        .datad(shift_out_79[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_86_1_a_0_.operation_mode="normal";
defparam shift_out_86_1_a_0_.output_mode="comb_only";
defparam shift_out_86_1_a_0_.lut_mask="2367";
defparam shift_out_86_1_a_0_.synch_mode="off";
defparam shift_out_86_1_a_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_0_ (
        .combout(shift_out_89_0_d0),
        .dataa(shift_out586),
        .datab(a_o_0),
        .datac(shift_out_80[0]),
        .datad(shift_out_82[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_0_.operation_mode="normal";
defparam shift_out_89_0_.output_mode="comb_only";
defparam shift_out_89_0_.lut_mask="5140";
defparam shift_out_89_0_.synch_mode="off";
defparam shift_out_89_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_76_0_ (
        .combout(shift_out_76[0]),
        .dataa(shift_out587),
        .datab(a_o_2),
        .datac(shift_out_79[16]),
        .datad(shift_out_76_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_76_0_.operation_mode="normal";
defparam shift_out_76_0_.output_mode="comb_only";
defparam shift_out_76_0_.lut_mask="20a8";
defparam shift_out_76_0_.synch_mode="off";
defparam shift_out_76_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_76_a_0_ (
        .combout(shift_out_76_a[0]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_79[12]),
        .datad(shift_out_41[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_76_a_0_.operation_mode="normal";
defparam shift_out_76_a_0_.output_mode="comb_only";
defparam shift_out_76_a_0_.lut_mask="03cf";
defparam shift_out_76_a_0_.synch_mode="off";
defparam shift_out_76_a_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_6_ (
        .combout(shift_out_74[6]),
        .dataa(VCC),
        .datab(a_o_3),
        .datac(shift_out_74_a[6]),
        .datad(shift_out_61[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_6_.operation_mode="normal";
defparam shift_out_74_6_.output_mode="comb_only";
defparam shift_out_74_6_.lut_mask="3f0c";
defparam shift_out_74_6_.synch_mode="off";
defparam shift_out_74_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_a_6_ (
        .combout(shift_out_74_a[6]),
        .dataa(a_o_0),
        .datab(b_o_iv_30),
        .datac(shift_out_63_a[17]),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_a_6_.operation_mode="normal";
defparam shift_out_74_a_6_.output_mode="comb_only";
defparam shift_out_74_a_6_.lut_mask="10bf";
defparam shift_out_74_a_6_.synch_mode="off";
defparam shift_out_74_a_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_8_ (
        .combout(shift_out_89_3_0_d[8]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_89_3_0_d_a[8]),
        .datad(shift_out_45[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_8_.operation_mode="normal";
defparam shift_out_89_3_0_d_8_.output_mode="comb_only";
defparam shift_out_89_3_0_d_8_.lut_mask="cf03";
defparam shift_out_89_3_0_d_8_.synch_mode="off";
defparam shift_out_89_3_0_d_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_a_8_ (
        .combout(shift_out_89_3_0_d_a[8]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_5),
        .datad(b_o_iv_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_a_8_.operation_mode="normal";
defparam shift_out_89_3_0_d_a_8_.output_mode="comb_only";
defparam shift_out_89_3_0_d_a_8_.lut_mask="0c3f";
defparam shift_out_89_3_0_d_a_8_.synch_mode="off";
defparam shift_out_89_3_0_d_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_8_ (
        .combout(shift_out_89_2_0_d[8]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_0_0),
        .datad(shift_out_89_2_0_d_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_8_.operation_mode="normal";
defparam shift_out_89_2_0_d_8_.output_mode="comb_only";
defparam shift_out_89_2_0_d_8_.lut_mask="10fe";
defparam shift_out_89_2_0_d_8_.synch_mode="off";
defparam shift_out_89_2_0_d_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_8_ (
        .combout(shift_out_89_2_0_d_a[8]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_14),
        .datad(shift_out_80[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_8_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_8_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_8_.lut_mask="03cf";
defparam shift_out_89_2_0_d_a_8_.synch_mode="off";
defparam shift_out_89_2_0_d_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_15_ (
        .combout(shift_out_89_3_0_d[15]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_89_3_0_d_a[15]),
        .datad(shift_out_48[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_15_.operation_mode="normal";
defparam shift_out_89_3_0_d_15_.output_mode="comb_only";
defparam shift_out_89_3_0_d_15_.lut_mask="cf03";
defparam shift_out_89_3_0_d_15_.synch_mode="off";
defparam shift_out_89_3_0_d_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_a_15_ (
        .combout(shift_out_89_3_0_d_a[15]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_0_0),
        .datad(b_o_iv_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_a_15_.operation_mode="normal";
defparam shift_out_89_3_0_d_a_15_.output_mode="comb_only";
defparam shift_out_89_3_0_d_a_15_.lut_mask="0c3f";
defparam shift_out_89_3_0_d_a_15_.synch_mode="off";
defparam shift_out_89_3_0_d_a_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_15_ (
        .combout(shift_out_89_2_0_d[15]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[15]),
        .datad(shift_out_80[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_15_.operation_mode="normal";
defparam shift_out_89_2_0_d_15_.output_mode="comb_only";
defparam shift_out_89_2_0_d_15_.lut_mask="cf03";
defparam shift_out_89_2_0_d_15_.synch_mode="off";
defparam shift_out_89_2_0_d_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_15_ (
        .combout(shift_out_89_2_0_d_a[15]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_21),
        .datad(b_o_iv_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_15_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_15_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_15_.lut_mask="0c3f";
defparam shift_out_89_2_0_d_a_15_.synch_mode="off";
defparam shift_out_89_2_0_d_a_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_14_ (
        .combout(shift_out_89_3_0_d[14]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_89_3_0_d_a[14]),
        .datad(shift_out_48[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_14_.operation_mode="normal";
defparam shift_out_89_3_0_d_14_.output_mode="comb_only";
defparam shift_out_89_3_0_d_14_.lut_mask="cf03";
defparam shift_out_89_3_0_d_14_.synch_mode="off";
defparam shift_out_89_3_0_d_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_a_14_ (
        .combout(shift_out_89_3_0_d_a[14]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_0_0),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_a_14_.operation_mode="normal";
defparam shift_out_89_3_0_d_a_14_.output_mode="comb_only";
defparam shift_out_89_3_0_d_a_14_.lut_mask="03cf";
defparam shift_out_89_3_0_d_a_14_.synch_mode="off";
defparam shift_out_89_3_0_d_a_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_14_ (
        .combout(shift_out_89_2_0_d[14]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[14]),
        .datad(shift_out_80[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_14_.operation_mode="normal";
defparam shift_out_89_2_0_d_14_.output_mode="comb_only";
defparam shift_out_89_2_0_d_14_.lut_mask="cf03";
defparam shift_out_89_2_0_d_14_.synch_mode="off";
defparam shift_out_89_2_0_d_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_14_ (
        .combout(shift_out_89_2_0_d_a[14]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_20),
        .datad(b_o_iv_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_14_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_14_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_14_.lut_mask="0c3f";
defparam shift_out_89_2_0_d_a_14_.synch_mode="off";
defparam shift_out_89_2_0_d_a_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_13_ (
        .combout(shift_out_89_3_0_d[13]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_90_1_a[19]),
        .datad(shift_out_48[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_13_.operation_mode="normal";
defparam shift_out_89_3_0_d_13_.output_mode="comb_only";
defparam shift_out_89_3_0_d_13_.lut_mask="cf03";
defparam shift_out_89_3_0_d_13_.synch_mode="off";
defparam shift_out_89_3_0_d_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_13_ (
        .combout(shift_out_89_2_0_d[13]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[13]),
        .datad(shift_out_80[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_13_.operation_mode="normal";
defparam shift_out_89_2_0_d_13_.output_mode="comb_only";
defparam shift_out_89_2_0_d_13_.lut_mask="cf03";
defparam shift_out_89_2_0_d_13_.synch_mode="off";
defparam shift_out_89_2_0_d_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_13_ (
        .combout(shift_out_89_2_0_d_a[13]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_19),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_13_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_13_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_13_.lut_mask="0c3f";
defparam shift_out_89_2_0_d_a_13_.synch_mode="off";
defparam shift_out_89_2_0_d_a_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_11_ (
        .combout(shift_out_89_3_0_d[11]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_77_a[17]),
        .datad(shift_out_45[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_11_.operation_mode="normal";
defparam shift_out_89_3_0_d_11_.output_mode="comb_only";
defparam shift_out_89_3_0_d_11_.lut_mask="cf03";
defparam shift_out_89_3_0_d_11_.synch_mode="off";
defparam shift_out_89_3_0_d_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_11_ (
        .combout(shift_out_89_2_0_d[11]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[11]),
        .datad(shift_out_80[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_11_.operation_mode="normal";
defparam shift_out_89_2_0_d_11_.output_mode="comb_only";
defparam shift_out_89_2_0_d_11_.lut_mask="cf03";
defparam shift_out_89_2_0_d_11_.synch_mode="off";
defparam shift_out_89_2_0_d_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_11_ (
        .combout(shift_out_89_2_0_d_a[11]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_15),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_11_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_11_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_11_.lut_mask="03cf";
defparam shift_out_89_2_0_d_a_11_.synch_mode="off";
defparam shift_out_89_2_0_d_a_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_10_ (
        .combout(shift_out_89_3_0_d[10]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_90_1_a[16]),
        .datad(shift_out_45[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_10_.operation_mode="normal";
defparam shift_out_89_3_0_d_10_.output_mode="comb_only";
defparam shift_out_89_3_0_d_10_.lut_mask="cf03";
defparam shift_out_89_3_0_d_10_.synch_mode="off";
defparam shift_out_89_3_0_d_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_10_ (
        .combout(shift_out_89_2_0_d[10]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[10]),
        .datad(shift_out_80[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_10_.operation_mode="normal";
defparam shift_out_89_2_0_d_10_.output_mode="comb_only";
defparam shift_out_89_2_0_d_10_.lut_mask="cf03";
defparam shift_out_89_2_0_d_10_.synch_mode="off";
defparam shift_out_89_2_0_d_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_10_ (
        .combout(shift_out_89_2_0_d_a[10]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_14),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_10_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_10_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_10_.lut_mask="03cf";
defparam shift_out_89_2_0_d_a_10_.synch_mode="off";
defparam shift_out_89_2_0_d_a_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_9_ (
        .combout(shift_out_89_3_0_d[9]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_89_3_0_d_a[9]),
        .datad(shift_out_45[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_9_.operation_mode="normal";
defparam shift_out_89_3_0_d_9_.output_mode="comb_only";
defparam shift_out_89_3_0_d_9_.lut_mask="cf03";
defparam shift_out_89_3_0_d_9_.synch_mode="off";
defparam shift_out_89_3_0_d_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_a_9_ (
        .combout(shift_out_89_3_0_d_a[9]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_6),
        .datad(b_o_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_a_9_.operation_mode="normal";
defparam shift_out_89_3_0_d_a_9_.output_mode="comb_only";
defparam shift_out_89_3_0_d_a_9_.lut_mask="0c3f";
defparam shift_out_89_3_0_d_a_9_.synch_mode="off";
defparam shift_out_89_3_0_d_a_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_9_ (
        .combout(shift_out_89_2_0_d[9]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[9]),
        .datad(shift_out_80[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_9_.operation_mode="normal";
defparam shift_out_89_2_0_d_9_.output_mode="comb_only";
defparam shift_out_89_2_0_d_9_.lut_mask="cf03";
defparam shift_out_89_2_0_d_9_.synch_mode="off";
defparam shift_out_89_2_0_d_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_9_ (
        .combout(shift_out_89_2_0_d_a[9]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_13),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_9_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_9_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_9_.lut_mask="03cf";
defparam shift_out_89_2_0_d_a_9_.synch_mode="off";
defparam shift_out_89_2_0_d_a_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_7_ (
        .combout(shift_out_89_3_0_d[7]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_77_a[13]),
        .datad(shift_out_43[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_7_.operation_mode="normal";
defparam shift_out_89_3_0_d_7_.output_mode="comb_only";
defparam shift_out_89_3_0_d_7_.lut_mask="cf03";
defparam shift_out_89_3_0_d_7_.synch_mode="off";
defparam shift_out_89_3_0_d_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_7_ (
        .combout(shift_out_89_2_0_d[7]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[7]),
        .datad(shift_out_80[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_7_.operation_mode="normal";
defparam shift_out_89_2_0_d_7_.output_mode="comb_only";
defparam shift_out_89_2_0_d_7_.lut_mask="cf03";
defparam shift_out_89_2_0_d_7_.synch_mode="off";
defparam shift_out_89_2_0_d_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_7_ (
        .combout(shift_out_89_2_0_d_a[7]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_13),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_7_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_7_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_7_.lut_mask="0c3f";
defparam shift_out_89_2_0_d_a_7_.synch_mode="off";
defparam shift_out_89_2_0_d_a_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_6_ (
        .combout(shift_out_89_3_0_d[6]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_77_a[12]),
        .datad(shift_out_43[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_6_.operation_mode="normal";
defparam shift_out_89_3_0_d_6_.output_mode="comb_only";
defparam shift_out_89_3_0_d_6_.lut_mask="cf03";
defparam shift_out_89_3_0_d_6_.synch_mode="off";
defparam shift_out_89_3_0_d_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_1_0_d_23_ (
        .combout(shift_out_89_1_0_d[23]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_77_a[31]),
        .datad(shift_out_54[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_1_0_d_23_.operation_mode="normal";
defparam shift_out_89_1_0_d_23_.output_mode="comb_only";
defparam shift_out_89_1_0_d_23_.lut_mask="cf03";
defparam shift_out_89_1_0_d_23_.synch_mode="off";
defparam shift_out_89_1_0_d_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_23_ (
        .combout(shift_out_87_d[23]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_87_d_a[23]),
        .datad(shift_out_80[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_23_.operation_mode="normal";
defparam shift_out_87_d_23_.output_mode="comb_only";
defparam shift_out_87_d_23_.lut_mask="cf03";
defparam shift_out_87_d_23_.synch_mode="off";
defparam shift_out_87_d_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_23_ (
        .combout(shift_out_87_d_a[23]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_29),
        .datad(b_o_iv_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_23_.operation_mode="normal";
defparam shift_out_87_d_a_23_.output_mode="comb_only";
defparam shift_out_87_d_a_23_.lut_mask="0c3f";
defparam shift_out_87_d_a_23_.synch_mode="off";
defparam shift_out_87_d_a_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_1_0_d_22_ (
        .combout(shift_out_89_1_0_d[22]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_85_a[26]),
        .datad(shift_out_54[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_1_0_d_22_.operation_mode="normal";
defparam shift_out_89_1_0_d_22_.output_mode="comb_only";
defparam shift_out_89_1_0_d_22_.lut_mask="cf03";
defparam shift_out_89_1_0_d_22_.synch_mode="off";
defparam shift_out_89_1_0_d_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_22_ (
        .combout(shift_out_87_d[22]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_87_d_a[22]),
        .datad(shift_out_80[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_22_.operation_mode="normal";
defparam shift_out_87_d_22_.output_mode="comb_only";
defparam shift_out_87_d_22_.lut_mask="cf03";
defparam shift_out_87_d_22_.synch_mode="off";
defparam shift_out_87_d_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_22_ (
        .combout(shift_out_87_d_a[22]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_26),
        .datad(b_o_iv_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_22_.operation_mode="normal";
defparam shift_out_87_d_a_22_.output_mode="comb_only";
defparam shift_out_87_d_a_22_.lut_mask="03cf";
defparam shift_out_87_d_a_22_.synch_mode="off";
defparam shift_out_87_d_a_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_1_0_d_21_ (
        .combout(shift_out_89_1_0_d[21]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_85_a[25]),
        .datad(shift_out_54[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_1_0_d_21_.operation_mode="normal";
defparam shift_out_89_1_0_d_21_.output_mode="comb_only";
defparam shift_out_89_1_0_d_21_.lut_mask="cf03";
defparam shift_out_89_1_0_d_21_.synch_mode="off";
defparam shift_out_89_1_0_d_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_21_ (
        .combout(shift_out_87_d[21]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_87_d_a[21]),
        .datad(shift_out_80[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_21_.operation_mode="normal";
defparam shift_out_87_d_21_.output_mode="comb_only";
defparam shift_out_87_d_21_.lut_mask="cf03";
defparam shift_out_87_d_21_.synch_mode="off";
defparam shift_out_87_d_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_21_ (
        .combout(shift_out_87_d_a[21]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_25),
        .datad(b_o_iv_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_21_.operation_mode="normal";
defparam shift_out_87_d_a_21_.output_mode="comb_only";
defparam shift_out_87_d_a_21_.lut_mask="03cf";
defparam shift_out_87_d_a_21_.synch_mode="off";
defparam shift_out_87_d_a_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_1_0_d_20_ (
        .combout(shift_out_89_1_0_d[20]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_85_a[24]),
        .datad(shift_out_54[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_1_0_d_20_.operation_mode="normal";
defparam shift_out_89_1_0_d_20_.output_mode="comb_only";
defparam shift_out_89_1_0_d_20_.lut_mask="cf03";
defparam shift_out_89_1_0_d_20_.synch_mode="off";
defparam shift_out_89_1_0_d_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_20_ (
        .combout(shift_out_87_d[20]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_87_d_a[20]),
        .datad(shift_out_80[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_20_.operation_mode="normal";
defparam shift_out_87_d_20_.output_mode="comb_only";
defparam shift_out_87_d_20_.lut_mask="cf03";
defparam shift_out_87_d_20_.synch_mode="off";
defparam shift_out_87_d_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_20_ (
        .combout(shift_out_87_d_a[20]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_26),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_20_.operation_mode="normal";
defparam shift_out_87_d_a_20_.output_mode="comb_only";
defparam shift_out_87_d_a_20_.lut_mask="0c3f";
defparam shift_out_87_d_a_20_.synch_mode="off";
defparam shift_out_87_d_a_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_19_ (
        .combout(shift_out_89_3_0_d[19]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_90_1_a[27]),
        .datad(shift_out_52[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_19_.operation_mode="normal";
defparam shift_out_89_3_0_d_19_.output_mode="comb_only";
defparam shift_out_89_3_0_d_19_.lut_mask="cf03";
defparam shift_out_89_3_0_d_19_.synch_mode="off";
defparam shift_out_89_3_0_d_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_19_ (
        .combout(shift_out_89_2_0_d[19]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[19]),
        .datad(shift_out_80[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_19_.operation_mode="normal";
defparam shift_out_89_2_0_d_19_.output_mode="comb_only";
defparam shift_out_89_2_0_d_19_.lut_mask="cf03";
defparam shift_out_89_2_0_d_19_.synch_mode="off";
defparam shift_out_89_2_0_d_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_19_ (
        .combout(shift_out_89_2_0_d_a[19]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_25),
        .datad(b_o_iv_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_19_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_19_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_19_.lut_mask="0c3f";
defparam shift_out_89_2_0_d_a_19_.synch_mode="off";
defparam shift_out_89_2_0_d_a_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_18_ (
        .combout(shift_out_89_3_0_d[18]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_89_3_0_d_a[18]),
        .datad(shift_out_52[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_18_.operation_mode="normal";
defparam shift_out_89_3_0_d_18_.output_mode="comb_only";
defparam shift_out_89_3_0_d_18_.lut_mask="cf03";
defparam shift_out_89_3_0_d_18_.synch_mode="off";
defparam shift_out_89_3_0_d_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_a_18_ (
        .combout(shift_out_89_3_0_d_a[18]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_15),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_a_18_.operation_mode="normal";
defparam shift_out_89_3_0_d_a_18_.output_mode="comb_only";
defparam shift_out_89_3_0_d_a_18_.lut_mask="0c3f";
defparam shift_out_89_3_0_d_a_18_.synch_mode="off";
defparam shift_out_89_3_0_d_a_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_18_ (
        .combout(shift_out_89_2_0_d[18]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[18]),
        .datad(shift_out_80[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_18_.operation_mode="normal";
defparam shift_out_89_2_0_d_18_.output_mode="comb_only";
defparam shift_out_89_2_0_d_18_.lut_mask="cf03";
defparam shift_out_89_2_0_d_18_.synch_mode="off";
defparam shift_out_89_2_0_d_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_18_ (
        .combout(shift_out_89_2_0_d_a[18]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_24),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_18_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_18_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_18_.lut_mask="0c3f";
defparam shift_out_89_2_0_d_a_18_.synch_mode="off";
defparam shift_out_89_2_0_d_a_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_17_ (
        .combout(shift_out_89_3_0_d[17]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_90_1_a[25]),
        .datad(shift_out_90_1[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_17_.operation_mode="normal";
defparam shift_out_89_3_0_d_17_.output_mode="comb_only";
defparam shift_out_89_3_0_d_17_.lut_mask="cf03";
defparam shift_out_89_3_0_d_17_.synch_mode="off";
defparam shift_out_89_3_0_d_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_17_ (
        .combout(shift_out_89_2_0_d[17]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[17]),
        .datad(shift_out_80[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_17_.operation_mode="normal";
defparam shift_out_89_2_0_d_17_.output_mode="comb_only";
defparam shift_out_89_2_0_d_17_.lut_mask="cf03";
defparam shift_out_89_2_0_d_17_.synch_mode="off";
defparam shift_out_89_2_0_d_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_17_ (
        .combout(shift_out_89_2_0_d_a[17]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_21),
        .datad(b_o_iv_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_17_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_17_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_17_.lut_mask="03cf";
defparam shift_out_89_2_0_d_a_17_.synch_mode="off";
defparam shift_out_89_2_0_d_a_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_16_ (
        .combout(shift_out_89_3_0_d[16]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_90_1_a[24]),
        .datad(shift_out_90_1[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_16_.operation_mode="normal";
defparam shift_out_89_3_0_d_16_.output_mode="comb_only";
defparam shift_out_89_3_0_d_16_.lut_mask="cf03";
defparam shift_out_89_3_0_d_16_.synch_mode="off";
defparam shift_out_89_3_0_d_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_16_ (
        .combout(shift_out_89_2_0_d[16]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[16]),
        .datad(shift_out_80[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_16_.operation_mode="normal";
defparam shift_out_89_2_0_d_16_.output_mode="comb_only";
defparam shift_out_89_2_0_d_16_.lut_mask="cf03";
defparam shift_out_89_2_0_d_16_.synch_mode="off";
defparam shift_out_89_2_0_d_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_16_ (
        .combout(shift_out_89_2_0_d_a[16]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_20),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_16_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_16_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_16_.lut_mask="03cf";
defparam shift_out_89_2_0_d_a_16_.synch_mode="off";
defparam shift_out_89_2_0_d_a_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_12_ (
        .combout(shift_out_89_3_0_d[12]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_89_3_0_d_a[12]),
        .datad(shift_out_48[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_12_.operation_mode="normal";
defparam shift_out_89_3_0_d_12_.output_mode="comb_only";
defparam shift_out_89_3_0_d_12_.lut_mask="cf03";
defparam shift_out_89_3_0_d_12_.synch_mode="off";
defparam shift_out_89_3_0_d_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_3_0_d_a_12_ (
        .combout(shift_out_89_3_0_d_a[12]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_9),
        .datad(b_o_iv_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_3_0_d_a_12_.operation_mode="normal";
defparam shift_out_89_3_0_d_a_12_.output_mode="comb_only";
defparam shift_out_89_3_0_d_a_12_.lut_mask="0c3f";
defparam shift_out_89_3_0_d_a_12_.synch_mode="off";
defparam shift_out_89_3_0_d_a_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_12_ (
        .combout(shift_out_89_2_0_d[12]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_89_2_0_d_a[12]),
        .datad(shift_out_80[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_12_.operation_mode="normal";
defparam shift_out_89_2_0_d_12_.output_mode="comb_only";
defparam shift_out_89_2_0_d_12_.lut_mask="cf03";
defparam shift_out_89_2_0_d_12_.synch_mode="off";
defparam shift_out_89_2_0_d_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_d_a_12_ (
        .combout(shift_out_89_2_0_d_a[12]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_18),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_d_a_12_.operation_mode="normal";
defparam shift_out_89_2_0_d_a_12_.output_mode="comb_only";
defparam shift_out_89_2_0_d_a_12_.lut_mask="0c3f";
defparam shift_out_89_2_0_d_a_12_.synch_mode="off";
defparam shift_out_89_2_0_d_a_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_24_ (
        .combout(shift_out_85_d[24]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_85_a[26]),
        .datad(shift_out_81[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_24_.operation_mode="normal";
defparam shift_out_85_d_24_.output_mode="comb_only";
defparam shift_out_85_d_24_.lut_mask="3f0c";
defparam shift_out_85_d_24_.synch_mode="off";
defparam shift_out_85_d_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_24_ (
        .combout(shift_out_87_d[24]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_87_d_a[24]),
        .datad(shift_out_80[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_24_.operation_mode="normal";
defparam shift_out_87_d_24_.output_mode="comb_only";
defparam shift_out_87_d_24_.lut_mask="cf03";
defparam shift_out_87_d_24_.synch_mode="off";
defparam shift_out_87_d_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_24_ (
        .combout(shift_out_87_d_a[24]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_30),
        .datad(b_o_iv_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_24_.operation_mode="normal";
defparam shift_out_87_d_a_24_.output_mode="comb_only";
defparam shift_out_87_d_a_24_.lut_mask="0c3f";
defparam shift_out_87_d_a_24_.synch_mode="off";
defparam shift_out_87_d_a_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_5_ (
        .combout(shift_out_85_d[5]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(shift_out_68[5]),
        .datad(shift_out_85_d_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_5_.operation_mode="normal";
defparam shift_out_85_d_5_.output_mode="comb_only";
defparam shift_out_85_d_5_.lut_mask="5072";
defparam shift_out_85_d_5_.synch_mode="off";
defparam shift_out_85_d_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_a_5_ (
        .combout(shift_out_85_d_a[5]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_a_5_.operation_mode="normal";
defparam shift_out_85_d_a_5_.output_mode="comb_only";
defparam shift_out_85_d_a_5_.lut_mask="03cf";
defparam shift_out_85_d_a_5_.synch_mode="off";
defparam shift_out_85_d_a_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_4_ (
        .combout(shift_out_85_d[4]),
        .dataa(a_o_2),
        .datab(a_o_0),
        .datac(b_o_iv_1),
        .datad(shift_out_85_d_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_4_.operation_mode="normal";
defparam shift_out_85_d_4_.output_mode="comb_only";
defparam shift_out_85_d_4_.lut_mask="6251";
defparam shift_out_85_d_4_.synch_mode="off";
defparam shift_out_85_d_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_a_4_ (
        .combout(shift_out_85_d_a[4]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_2),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_a_4_.operation_mode="normal";
defparam shift_out_85_d_a_4_.output_mode="comb_only";
defparam shift_out_85_d_a_4_.lut_mask="2705";
defparam shift_out_85_d_a_4_.synch_mode="off";
defparam shift_out_85_d_a_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_4_ (
        .combout(shift_out_87_d[4]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_87_d_a[4]),
        .datad(shift_out_80[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_4_.operation_mode="normal";
defparam shift_out_87_d_4_.output_mode="comb_only";
defparam shift_out_87_d_4_.lut_mask="cf03";
defparam shift_out_87_d_4_.synch_mode="off";
defparam shift_out_87_d_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_4_ (
        .combout(shift_out_87_d_a[4]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_8),
        .datad(b_o_iv_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_4_.operation_mode="normal";
defparam shift_out_87_d_a_4_.output_mode="comb_only";
defparam shift_out_87_d_a_4_.lut_mask="03cf";
defparam shift_out_87_d_a_4_.synch_mode="off";
defparam shift_out_87_d_a_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_d_31_ (
        .combout(shift_out_77_d[31]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_68[25]),
        .datad(shift_out_54[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_d_31_.operation_mode="normal";
defparam shift_out_77_d_31_.output_mode="comb_only";
defparam shift_out_77_d_31_.lut_mask="f3c0";
defparam shift_out_77_d_31_.synch_mode="off";
defparam shift_out_77_d_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_28_ (
        .combout(shift_out_85_d[28]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_0_15),
        .datad(shift_out_85_d_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_28_.operation_mode="normal";
defparam shift_out_85_d_28_.output_mode="comb_only";
defparam shift_out_85_d_28_.lut_mask="10fe";
defparam shift_out_85_d_28_.synch_mode="off";
defparam shift_out_85_d_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_a_28_ (
        .combout(shift_out_85_d_a[28]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_68[28]),
        .datad(shift_out_68[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_a_28_.operation_mode="normal";
defparam shift_out_85_d_a_28_.output_mode="comb_only";
defparam shift_out_85_d_a_28_.lut_mask="03cf";
defparam shift_out_85_d_a_28_.synch_mode="off";
defparam shift_out_85_d_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_28_ (
        .combout(shift_out_87[28]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(shift_out_87_d[28]),
        .datad(shift_out_87_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_28_.operation_mode="normal";
defparam shift_out_87_28_.output_mode="comb_only";
defparam shift_out_87_28_.lut_mask="70f8";
defparam shift_out_87_28_.synch_mode="off";
defparam shift_out_87_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_a_28_ (
        .combout(shift_out_87_a[28]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(b_o_iv_31),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_a_28_.operation_mode="normal";
defparam shift_out_87_a_28_.output_mode="comb_only";
defparam shift_out_87_a_28_.lut_mask="03cf";
defparam shift_out_87_a_28_.synch_mode="off";
defparam shift_out_87_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_27_ (
        .combout(shift_out_85_d[27]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_68[25]),
        .datad(shift_out_85_d_a[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_27_.operation_mode="normal";
defparam shift_out_85_d_27_.output_mode="comb_only";
defparam shift_out_85_d_27_.lut_mask="c0f3";
defparam shift_out_85_d_27_.synch_mode="off";
defparam shift_out_85_d_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_a_27_ (
        .combout(shift_out_85_d_a[27]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_26),
        .datad(shift_out_68[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_a_27_.operation_mode="normal";
defparam shift_out_85_d_a_27_.output_mode="comb_only";
defparam shift_out_85_d_a_27_.lut_mask="03cf";
defparam shift_out_85_d_a_27_.synch_mode="off";
defparam shift_out_85_d_a_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_27_ (
        .combout(shift_out_87_d[27]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_80[27]),
        .datad(shift_out_87_d_a[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_27_.operation_mode="normal";
defparam shift_out_87_d_27_.output_mode="comb_only";
defparam shift_out_87_d_27_.lut_mask="c0f3";
defparam shift_out_87_d_27_.synch_mode="off";
defparam shift_out_87_d_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_27_ (
        .combout(shift_out_87_d_a[27]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_31),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_27_.operation_mode="normal";
defparam shift_out_87_d_a_27_.output_mode="comb_only";
defparam shift_out_87_d_a_27_.lut_mask="03cf";
defparam shift_out_87_d_a_27_.synch_mode="off";
defparam shift_out_87_d_a_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_26_ (
        .combout(shift_out_85_d[26]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_85_a[28]),
        .datad(shift_out_81[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_26_.operation_mode="normal";
defparam shift_out_85_d_26_.output_mode="comb_only";
defparam shift_out_85_d_26_.lut_mask="3f0c";
defparam shift_out_85_d_26_.synch_mode="off";
defparam shift_out_85_d_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_26_ (
        .combout(shift_out_87_d[26]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_80[26]),
        .datad(shift_out_87_d_a[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_26_.operation_mode="normal";
defparam shift_out_87_d_26_.output_mode="comb_only";
defparam shift_out_87_d_26_.lut_mask="c0f3";
defparam shift_out_87_d_26_.synch_mode="off";
defparam shift_out_87_d_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_26_ (
        .combout(shift_out_87_d_a[26]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_30),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_26_.operation_mode="normal";
defparam shift_out_87_d_a_26_.output_mode="comb_only";
defparam shift_out_87_d_a_26_.lut_mask="03cf";
defparam shift_out_87_d_a_26_.synch_mode="off";
defparam shift_out_87_d_a_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_d_25_ (
        .combout(shift_out_85_d[25]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_77_a[31]),
        .datad(shift_out_81[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_d_25_.operation_mode="normal";
defparam shift_out_85_d_25_.output_mode="comb_only";
defparam shift_out_85_d_25_.lut_mask="3f0c";
defparam shift_out_85_d_25_.synch_mode="off";
defparam shift_out_85_d_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_25_ (
        .combout(shift_out_87_d[25]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_87_d_a[25]),
        .datad(shift_out_80[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_25_.operation_mode="normal";
defparam shift_out_87_d_25_.output_mode="comb_only";
defparam shift_out_87_d_25_.lut_mask="cf03";
defparam shift_out_87_d_25_.synch_mode="off";
defparam shift_out_87_d_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_25_ (
        .combout(shift_out_87_d_a[25]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_29),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_25_.operation_mode="normal";
defparam shift_out_87_d_a_25_.output_mode="comb_only";
defparam shift_out_87_d_a_25_.lut_mask="03cf";
defparam shift_out_87_d_a_25_.synch_mode="off";
defparam shift_out_87_d_a_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_d_27_ (
        .combout(shift_out_90_1_d[27]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_85_a[25]),
        .datad(shift_out_52[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_d_27_.operation_mode="normal";
defparam shift_out_90_1_d_27_.output_mode="comb_only";
defparam shift_out_90_1_d_27_.lut_mask="3f0c";
defparam shift_out_90_1_d_27_.synch_mode="off";
defparam shift_out_90_1_d_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_d_25_ (
        .combout(shift_out_90_1_d[25]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_90_1_a[27]),
        .datad(shift_out_90_1[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_d_25_.operation_mode="normal";
defparam shift_out_90_1_d_25_.output_mode="comb_only";
defparam shift_out_90_1_d_25_.lut_mask="3f0c";
defparam shift_out_90_1_d_25_.synch_mode="off";
defparam shift_out_90_1_d_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_d_24_ (
        .combout(shift_out_90_1_d[24]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_89_3_0_d_a[18]),
        .datad(shift_out_90_1[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_d_24_.operation_mode="normal";
defparam shift_out_90_1_d_24_.output_mode="comb_only";
defparam shift_out_90_1_d_24_.lut_mask="3f0c";
defparam shift_out_90_1_d_24_.synch_mode="off";
defparam shift_out_90_1_d_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_61_6_ (
        .combout(shift_out_61[6]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_79[14]),
        .datad(shift_out_44[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_61_6_.operation_mode="normal";
defparam shift_out_61_6_.output_mode="comb_only";
defparam shift_out_61_6_.lut_mask="fc30";
defparam shift_out_61_6_.synch_mode="off";
defparam shift_out_61_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_61_9_ (
        .combout(shift_out_61[9]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_44[1]),
        .datad(shift_out_41[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_61_9_.operation_mode="normal";
defparam shift_out_61_9_.output_mode="comb_only";
defparam shift_out_61_9_.lut_mask="fc30";
defparam shift_out_61_9_.synch_mode="off";
defparam shift_out_61_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_61_10_ (
        .combout(shift_out_61[10]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_83_a[18]),
        .datad(shift_out_44[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_61_10_.operation_mode="normal";
defparam shift_out_61_10_.output_mode="comb_only";
defparam shift_out_61_10_.lut_mask="3f0c";
defparam shift_out_61_10_.synch_mode="off";
defparam shift_out_61_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_20_ (
        .combout(shift_out_63[20]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(shift_out_63_a[20]),
        .datad(shift_out_45[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_20_.operation_mode="normal";
defparam shift_out_63_20_.output_mode="comb_only";
defparam shift_out_63_20_.lut_mask="7520";
defparam shift_out_63_20_.synch_mode="off";
defparam shift_out_63_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_a_20_ (
        .combout(shift_out_63_a[20]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_0),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_a_20_.operation_mode="normal";
defparam shift_out_63_a_20_.output_mode="comb_only";
defparam shift_out_63_a_20_.lut_mask="0f00";
defparam shift_out_63_a_20_.synch_mode="off";
defparam shift_out_63_a_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_21_ (
        .combout(shift_out_63[21]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(shift_out_85_d_a[5]),
        .datad(shift_out_45[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_21_.operation_mode="normal";
defparam shift_out_63_21_.output_mode="comb_only";
defparam shift_out_63_21_.lut_mask="5702";
defparam shift_out_63_21_.synch_mode="off";
defparam shift_out_63_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_23_ (
        .combout(shift_out_63[23]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_43[31]),
        .datad(shift_out_45[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_23_.operation_mode="normal";
defparam shift_out_63_23_.output_mode="comb_only";
defparam shift_out_63_23_.lut_mask="f3c0";
defparam shift_out_63_23_.synch_mode="off";
defparam shift_out_63_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_28_ (
        .combout(shift_out_63[28]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_48[28]),
        .datad(shift_out_90_1[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_28_.operation_mode="normal";
defparam shift_out_63_28_.output_mode="comb_only";
defparam shift_out_63_28_.lut_mask="f3c0";
defparam shift_out_63_28_.synch_mode="off";
defparam shift_out_63_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_29_ (
        .combout(shift_out_63[29]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_48[29]),
        .datad(shift_out_90_1[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_29_.operation_mode="normal";
defparam shift_out_63_29_.output_mode="comb_only";
defparam shift_out_63_29_.lut_mask="f3c0";
defparam shift_out_63_29_.synch_mode="off";
defparam shift_out_63_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_64_10_ (
        .combout(shift_out_64[10]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_79[14]),
        .datad(shift_out_44[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_64_10_.operation_mode="normal";
defparam shift_out_64_10_.output_mode="comb_only";
defparam shift_out_64_10_.lut_mask="f3c0";
defparam shift_out_64_10_.synch_mode="off";
defparam shift_out_64_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_14_ (
        .combout(shift_out_74[14]),
        .dataa(shift_out_74_a[14]),
        .datab(shift_out_84_1_0_s[31]),
        .datac(b_o_iv_30),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_14_.operation_mode="normal";
defparam shift_out_74_14_.output_mode="comb_only";
defparam shift_out_74_14_.lut_mask="f780";
defparam shift_out_74_14_.synch_mode="off";
defparam shift_out_74_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_a_14_ (
        .combout(shift_out_74_a[14]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_0),
        .datad(a_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_a_14_.operation_mode="normal";
defparam shift_out_74_a_14_.output_mode="comb_only";
defparam shift_out_74_a_14_.lut_mask="000f";
defparam shift_out_74_a_14_.synch_mode="off";
defparam shift_out_74_a_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_10_ (
        .combout(shift_out_77[10]),
        .dataa(a_o_0),
        .datab(b_o_iv_2),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_77_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_10_.operation_mode="normal";
defparam shift_out_77_10_.output_mode="comb_only";
defparam shift_out_77_10_.lut_mask="45e0";
defparam shift_out_77_10_.synch_mode="off";
defparam shift_out_77_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_a_10_ (
        .combout(shift_out_77_a[10]),
        .dataa(a_o_2),
        .datab(a_o_0),
        .datac(b_o_iv_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_a_10_.operation_mode="normal";
defparam shift_out_77_a_10_.output_mode="comb_only";
defparam shift_out_77_a_10_.lut_mask="1d0c";
defparam shift_out_77_a_10_.synch_mode="off";
defparam shift_out_77_a_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_11_ (
        .combout(shift_out_77[11]),
        .dataa(a_o_2),
        .datab(shift_out_63_a[17]),
        .datac(shift_out_68[5]),
        .datad(shift_out_85_d_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_11_.operation_mode="normal";
defparam shift_out_77_11_.output_mode="comb_only";
defparam shift_out_77_11_.lut_mask="c0d1";
defparam shift_out_77_11_.synch_mode="off";
defparam shift_out_77_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_83_14_ (
        .combout(shift_out_83[14]),
        .dataa(a_o_1),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_83_a[14]),
        .datad(shift_out_44[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_83_14_.operation_mode="normal";
defparam shift_out_83_14_.output_mode="comb_only";
defparam shift_out_83_14_.lut_mask="3704";
defparam shift_out_83_14_.synch_mode="off";
defparam shift_out_83_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_83_a_14_ (
        .combout(shift_out_83_a[14]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_30),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_83_a_14_.operation_mode="normal";
defparam shift_out_83_a_14_.output_mode="comb_only";
defparam shift_out_83_a_14_.lut_mask="03cf";
defparam shift_out_83_a_14_.synch_mode="off";
defparam shift_out_83_a_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_2_ (
        .combout(shift_out_87[2]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_80[2]),
        .datad(shift_out_82[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_2_.operation_mode="normal";
defparam shift_out_87_2_.output_mode="comb_only";
defparam shift_out_87_2_.lut_mask="f3c0";
defparam shift_out_87_2_.synch_mode="off";
defparam shift_out_87_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_3_ (
        .combout(shift_out_88[3]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_3),
        .datad(shift_out_79[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_3_.operation_mode="normal";
defparam shift_out_88_3_.output_mode="comb_only";
defparam shift_out_88_3_.lut_mask="f3c0";
defparam shift_out_88_3_.synch_mode="off";
defparam shift_out_88_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_4_ (
        .combout(shift_out_88[4]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_4),
        .datad(shift_out_79[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_4_.operation_mode="normal";
defparam shift_out_88_4_.output_mode="comb_only";
defparam shift_out_88_4_.lut_mask="f3c0";
defparam shift_out_88_4_.synch_mode="off";
defparam shift_out_88_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_5_ (
        .combout(shift_out_88[5]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_5),
        .datad(shift_out_79[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_5_.operation_mode="normal";
defparam shift_out_88_5_.output_mode="comb_only";
defparam shift_out_88_5_.lut_mask="f3c0";
defparam shift_out_88_5_.synch_mode="off";
defparam shift_out_88_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_7_ (
        .combout(shift_out_88[7]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_7),
        .datad(shift_out_79[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_7_.operation_mode="normal";
defparam shift_out_88_7_.output_mode="comb_only";
defparam shift_out_88_7_.lut_mask="f3c0";
defparam shift_out_88_7_.synch_mode="off";
defparam shift_out_88_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_9_ (
        .combout(shift_out_88[9]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_9),
        .datad(shift_out_79[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_9_.operation_mode="normal";
defparam shift_out_88_9_.output_mode="comb_only";
defparam shift_out_88_9_.lut_mask="f3c0";
defparam shift_out_88_9_.synch_mode="off";
defparam shift_out_88_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_11_ (
        .combout(shift_out_88[11]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_11),
        .datad(shift_out_79[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_11_.operation_mode="normal";
defparam shift_out_88_11_.output_mode="comb_only";
defparam shift_out_88_11_.lut_mask="f3c0";
defparam shift_out_88_11_.synch_mode="off";
defparam shift_out_88_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_13_ (
        .combout(shift_out_88[13]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_13),
        .datad(shift_out_79[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_13_.operation_mode="normal";
defparam shift_out_88_13_.output_mode="comb_only";
defparam shift_out_88_13_.lut_mask="f3c0";
defparam shift_out_88_13_.synch_mode="off";
defparam shift_out_88_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_16_ (
        .combout(shift_out_88[16]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_16),
        .datad(shift_out_79[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_16_.operation_mode="normal";
defparam shift_out_88_16_.output_mode="comb_only";
defparam shift_out_88_16_.lut_mask="f3c0";
defparam shift_out_88_16_.synch_mode="off";
defparam shift_out_88_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_17_ (
        .combout(shift_out_88[17]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_17),
        .datad(shift_out_79[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_17_.operation_mode="normal";
defparam shift_out_88_17_.output_mode="comb_only";
defparam shift_out_88_17_.lut_mask="f3c0";
defparam shift_out_88_17_.synch_mode="off";
defparam shift_out_88_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_18_ (
        .combout(shift_out_88[18]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_18),
        .datad(shift_out_79[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_18_.operation_mode="normal";
defparam shift_out_88_18_.output_mode="comb_only";
defparam shift_out_88_18_.lut_mask="f3c0";
defparam shift_out_88_18_.synch_mode="off";
defparam shift_out_88_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_20_ (
        .combout(shift_out_88_19),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_20),
        .datad(shift_out_79[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_20_.operation_mode="normal";
defparam shift_out_88_20_.output_mode="comb_only";
defparam shift_out_88_20_.lut_mask="f3c0";
defparam shift_out_88_20_.synch_mode="off";
defparam shift_out_88_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_23_ (
        .combout(shift_out_88_22),
        .dataa(shift_out_sn_b10_0),
        .datab(shift_out_88_a[23]),
        .datac(b_o_iv_31),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_23_.operation_mode="normal";
defparam shift_out_88_23_.output_mode="comb_only";
defparam shift_out_88_23_.lut_mask="7362";
defparam shift_out_88_23_.synch_mode="off";
defparam shift_out_88_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_a_23_ (
        .combout(shift_out_88_a[23]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(shift_out_sn_b10_0),
        .datad(b_o_iv_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_a_23_.operation_mode="normal";
defparam shift_out_88_a_23_.output_mode="comb_only";
defparam shift_out_88_a_23_.lut_mask="01f1";
defparam shift_out_88_a_23_.synch_mode="off";
defparam shift_out_88_a_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_29_ (
        .combout(shift_out_89_29),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_85[29]),
        .datad(shift_out_87[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_29_.operation_mode="normal";
defparam shift_out_89_29_.output_mode="comb_only";
defparam shift_out_89_29_.lut_mask="f3c0";
defparam shift_out_89_29_.synch_mode="off";
defparam shift_out_89_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_30_ (
        .combout(shift_out_89_30),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_85[30]),
        .datad(shift_out_89_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_30_.operation_mode="normal";
defparam shift_out_89_30_.output_mode="comb_only";
defparam shift_out_89_30_.lut_mask="c0f3";
defparam shift_out_89_30_.synch_mode="off";
defparam shift_out_89_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_a_30_ (
        .combout(shift_out_89_a[30]),
        .dataa(a_o_0),
        .datab(shift_out_63_a[17]),
        .datac(b_o_iv_31),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_a_30_.operation_mode="normal";
defparam shift_out_89_a_30_.output_mode="comb_only";
defparam shift_out_89_a_30_.lut_mask="087f";
defparam shift_out_89_a_30_.synch_mode="off";
defparam shift_out_89_a_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_17_ (
        .combout(shift_out_63[17]),
        .dataa(a_o_0),
        .datab(b_o_iv_1),
        .datac(b_o_iv_0_d0),
        .datad(shift_out_63_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_17_.operation_mode="normal";
defparam shift_out_63_17_.output_mode="comb_only";
defparam shift_out_63_17_.lut_mask="e400";
defparam shift_out_63_17_.synch_mode="off";
defparam shift_out_63_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_a_17_ (
        .combout(shift_out_63_a[17]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_2),
        .datad(a_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_a_17_.operation_mode="normal";
defparam shift_out_63_a_17_.output_mode="comb_only";
defparam shift_out_63_a_17_.lut_mask="000f";
defparam shift_out_63_a_17_.synch_mode="off";
defparam shift_out_63_a_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_64_16_ (
        .combout(shift_out_64[16]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_2),
        .datad(shift_out_41[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_64_16_.operation_mode="normal";
defparam shift_out_64_16_.output_mode="comb_only";
defparam shift_out_64_16_.lut_mask="f000";
defparam shift_out_64_16_.synch_mode="off";
defparam shift_out_64_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_64_18_ (
        .combout(shift_out_64[18]),
        .dataa(a_o_0),
        .datab(shift_out_64_a[18]),
        .datac(b_o_iv_30),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_64_18_.operation_mode="normal";
defparam shift_out_64_18_.output_mode="comb_only";
defparam shift_out_64_18_.lut_mask="c840";
defparam shift_out_64_18_.synch_mode="off";
defparam shift_out_64_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_64_a_18_ (
        .combout(shift_out_64_a[18]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_2),
        .datad(a_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_64_a_18_.operation_mode="normal";
defparam shift_out_64_a_18_.output_mode="comb_only";
defparam shift_out_64_a_18_.lut_mask="00f0";
defparam shift_out_64_a_18_.synch_mode="off";
defparam shift_out_64_a_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_10_ (
        .combout(shift_out_90_0[10]),
        .dataa(VCC),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_79[14]),
        .datad(shift_out_44[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_10_.operation_mode="normal";
defparam shift_out_90_0_10_.output_mode="comb_only";
defparam shift_out_90_0_10_.lut_mask="fc30";
defparam shift_out_90_0_10_.synch_mode="off";
defparam shift_out_90_0_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_15_ (
        .combout(shift_out_90_0[15]),
        .dataa(a_o_1),
        .datab(shift_out_86_a[16]),
        .datac(shift_out_44[3]),
        .datad(shift_out_90_0_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_15_.operation_mode="normal";
defparam shift_out_90_0_15_.output_mode="comb_only";
defparam shift_out_90_0_15_.lut_mask="7430";
defparam shift_out_90_0_15_.synch_mode="off";
defparam shift_out_90_0_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_a_15_ (
        .combout(shift_out_90_0_a[15]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_0),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_a_15_.operation_mode="normal";
defparam shift_out_90_0_a_15_.output_mode="comb_only";
defparam shift_out_90_0_a_15_.lut_mask="0f00";
defparam shift_out_90_0_a_15_.synch_mode="off";
defparam shift_out_90_0_a_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_1_ (
        .combout(shift_out_88[1]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_1),
        .datad(shift_out_88_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_1_.operation_mode="normal";
defparam shift_out_88_1_.output_mode="comb_only";
defparam shift_out_88_1_.lut_mask="c0f3";
defparam shift_out_88_1_.synch_mode="off";
defparam shift_out_88_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_a_1_ (
        .combout(shift_out_88_a[1]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(shift_out_71[1]),
        .datad(shift_out_71[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_a_1_.operation_mode="normal";
defparam shift_out_88_a_1_.output_mode="comb_only";
defparam shift_out_88_a_1_.lut_mask="03cf";
defparam shift_out_88_a_1_.synch_mode="off";
defparam shift_out_88_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_0_26_ (
        .combout(shift_out_90_0[26]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_48[30]),
        .datad(shift_out_45[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_0_26_.operation_mode="normal";
defparam shift_out_90_0_26_.output_mode="comb_only";
defparam shift_out_90_0_26_.lut_mask="fc30";
defparam shift_out_90_0_26_.synch_mode="off";
defparam shift_out_90_0_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_64_14_ (
        .combout(shift_out_64[14]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(shift_out_83_a[14]),
        .datad(shift_out_44[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_64_14_.operation_mode="normal";
defparam shift_out_64_14_.output_mode="comb_only";
defparam shift_out_64_14_.lut_mask="ab01";
defparam shift_out_64_14_.synch_mode="off";
defparam shift_out_64_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_63_22_ (
        .combout(shift_out_63[22]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_45[30]),
        .datad(shift_out_43[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_63_22_.operation_mode="normal";
defparam shift_out_63_22_.output_mode="comb_only";
defparam shift_out_63_22_.lut_mask="fc30";
defparam shift_out_63_22_.synch_mode="off";
defparam shift_out_63_22_.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m25_0_a5_0_cZ (
        .combout(shift_out_sn_m25_0_a5_0),
        .dataa(shift_out588),
        .datab(shift_out586),
        .datac(a_o_4),
        .datad(shift_out_84_1_0_s[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m25_0_a5_0_cZ.operation_mode="normal";
defparam shift_out_sn_m25_0_a5_0_cZ.output_mode="comb_only";
defparam shift_out_sn_m25_0_a5_0_cZ.lut_mask="0010";
defparam shift_out_sn_m25_0_a5_0_cZ.synch_mode="off";
defparam shift_out_sn_m25_0_a5_0_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_82_0_ (
        .combout(shift_out_82[0]),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(b_o_iv_6),
        .datad(shift_out_82_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_82_0_.operation_mode="normal";
defparam shift_out_82_0_.output_mode="comb_only";
defparam shift_out_82_0_.lut_mask="c0f3";
defparam shift_out_82_0_.synch_mode="off";
defparam shift_out_82_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_82_a_0_ (
        .combout(shift_out_82_a[0]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(b_o_iv_2),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_82_a_0_.operation_mode="normal";
defparam shift_out_82_a_0_.output_mode="comb_only";
defparam shift_out_82_a_0_.lut_mask="03cf";
defparam shift_out_82_a_0_.synch_mode="off";
defparam shift_out_82_a_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_82_3_ (
        .combout(shift_out_82[3]),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(b_o_iv_9),
        .datad(shift_out_82_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_82_3_.operation_mode="normal";
defparam shift_out_82_3_.output_mode="comb_only";
defparam shift_out_82_3_.lut_mask="c0f3";
defparam shift_out_82_3_.synch_mode="off";
defparam shift_out_82_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_82_a_3_ (
        .combout(shift_out_82_a[3]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(b_o_iv_5),
        .datad(b_o_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_82_a_3_.operation_mode="normal";
defparam shift_out_82_a_3_.output_mode="comb_only";
defparam shift_out_82_a_3_.lut_mask="03cf";
defparam shift_out_82_a_3_.synch_mode="off";
defparam shift_out_82_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_82_2_ (
        .combout(shift_out_82[2]),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(b_o_iv_8),
        .datad(shift_out_82_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_82_2_.operation_mode="normal";
defparam shift_out_82_2_.output_mode="comb_only";
defparam shift_out_82_2_.lut_mask="c0f3";
defparam shift_out_82_2_.synch_mode="off";
defparam shift_out_82_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_82_a_2_ (
        .combout(shift_out_82_a[2]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(b_o_iv_6),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_82_a_2_.operation_mode="normal";
defparam shift_out_82_a_2_.output_mode="comb_only";
defparam shift_out_82_a_2_.lut_mask="0c3f";
defparam shift_out_82_a_2_.synch_mode="off";
defparam shift_out_82_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_0_1_ (
        .combout(shift_out_89_0_0),
        .dataa(VCC),
        .datab(shift_out_85_s[25]),
        .datac(b_o_iv_7),
        .datad(shift_out_89_0_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_0_1_.operation_mode="normal";
defparam shift_out_89_0_1_.output_mode="comb_only";
defparam shift_out_89_0_1_.lut_mask="c0f3";
defparam shift_out_89_0_1_.synch_mode="off";
defparam shift_out_89_0_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_0_a_1_ (
        .combout(shift_out_89_0_a[1]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(b_o_iv_3),
        .datad(b_o_iv_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_0_a_1_.operation_mode="normal";
defparam shift_out_89_0_a_1_.output_mode="comb_only";
defparam shift_out_89_0_a_1_.lut_mask="03cf";
defparam shift_out_89_0_a_1_.synch_mode="off";
defparam shift_out_89_0_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_28_ (
        .combout(shift_out_87_d[28]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_87_d_a[28]),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_28_.operation_mode="normal";
defparam shift_out_87_d_28_.output_mode="comb_only";
defparam shift_out_87_d_28_.lut_mask="cf03";
defparam shift_out_87_d_28_.synch_mode="off";
defparam shift_out_87_d_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_d_a_28_ (
        .combout(shift_out_87_d_a[28]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_29),
        .datad(b_o_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_d_a_28_.operation_mode="normal";
defparam shift_out_87_d_a_28_.output_mode="comb_only";
defparam shift_out_87_d_a_28_.lut_mask="0c3f";
defparam shift_out_87_d_a_28_.synch_mode="off";
defparam shift_out_87_d_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_41_0_ (
        .combout(shift_out_41[0]),
        .dataa(a_o_1),
        .datab(b_o_iv_29),
        .datac(b_o_iv_0_16),
        .datad(shift_out_41_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_41_0_.operation_mode="normal";
defparam shift_out_41_0_.output_mode="comb_only";
defparam shift_out_41_0_.lut_mask="50ee";
defparam shift_out_41_0_.synch_mode="off";
defparam shift_out_41_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_41_a_0_ (
        .combout(shift_out_41_a[0]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_30),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_41_a_0_.operation_mode="normal";
defparam shift_out_41_a_0_.output_mode="comb_only";
defparam shift_out_41_a_0_.lut_mask="159d";
defparam shift_out_41_a_0_.synch_mode="off";
defparam shift_out_41_a_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_41_1_ (
        .combout(shift_out_41[1]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_31),
        .datad(shift_out_41_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_41_1_.operation_mode="normal";
defparam shift_out_41_1_.output_mode="comb_only";
defparam shift_out_41_1_.lut_mask="c0f3";
defparam shift_out_41_1_.synch_mode="off";
defparam shift_out_41_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_41_a_1_ (
        .combout(shift_out_41_a[1]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_29),
        .datad(b_o_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_41_a_1_.operation_mode="normal";
defparam shift_out_41_a_1_.output_mode="comb_only";
defparam shift_out_41_a_1_.lut_mask="03cf";
defparam shift_out_41_a_1_.synch_mode="off";
defparam shift_out_41_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_42_1_ (
        .combout(shift_out_42[1]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_30),
        .datad(shift_out_87_d_a[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_42_1_.operation_mode="normal";
defparam shift_out_42_1_.output_mode="comb_only";
defparam shift_out_42_1_.lut_mask="4073";
defparam shift_out_42_1_.synch_mode="off";
defparam shift_out_42_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_43_30_ (
        .combout(shift_out_43[30]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_1),
        .datad(shift_out_43_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_43_30_.operation_mode="normal";
defparam shift_out_43_30_.output_mode="comb_only";
defparam shift_out_43_30_.lut_mask="4073";
defparam shift_out_43_30_.synch_mode="off";
defparam shift_out_43_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_43_a_30_ (
        .combout(shift_out_43_a[30]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_2),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_43_a_30_.operation_mode="normal";
defparam shift_out_43_a_30_.output_mode="comb_only";
defparam shift_out_43_a_30_.lut_mask="03cf";
defparam shift_out_43_a_30_.synch_mode="off";
defparam shift_out_43_a_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_43_31_ (
        .combout(shift_out_43[31]),
        .dataa(a_o_1),
        .datab(b_o_iv_2),
        .datac(b_o_iv_3),
        .datad(shift_out_43_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_43_31_.operation_mode="normal";
defparam shift_out_43_31_.output_mode="comb_only";
defparam shift_out_43_31_.lut_mask="50ee";
defparam shift_out_43_31_.synch_mode="off";
defparam shift_out_43_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_43_a_31_ (
        .combout(shift_out_43_a[31]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_1),
        .datad(b_o_iv_0_d0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_43_a_31_.operation_mode="normal";
defparam shift_out_43_a_31_.output_mode="comb_only";
defparam shift_out_43_a_31_.lut_mask="159d";
defparam shift_out_43_a_31_.synch_mode="off";
defparam shift_out_43_a_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_44_1_ (
        .combout(shift_out_44[1]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_15),
        .datac(b_o_iv_0_16),
        .datad(shift_out_44_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_44_1_.operation_mode="normal";
defparam shift_out_44_1_.output_mode="comb_only";
defparam shift_out_44_1_.lut_mask="88f5";
defparam shift_out_44_1_.synch_mode="off";
defparam shift_out_44_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_44_a_1_ (
        .combout(shift_out_44_a[1]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_25),
        .datad(b_o_iv_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_44_a_1_.operation_mode="normal";
defparam shift_out_44_a_1_.output_mode="comb_only";
defparam shift_out_44_a_1_.lut_mask="4567";
defparam shift_out_44_a_1_.synch_mode="off";
defparam shift_out_44_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_44_2_ (
        .combout(shift_out_44[2]),
        .dataa(a_o_1),
        .datab(b_o_iv_26),
        .datac(b_o_iv_0_15),
        .datad(shift_out_44_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_44_2_.operation_mode="normal";
defparam shift_out_44_2_.output_mode="comb_only";
defparam shift_out_44_2_.lut_mask="44fa";
defparam shift_out_44_2_.synch_mode="off";
defparam shift_out_44_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_44_a_2_ (
        .combout(shift_out_44_a[2]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_29),
        .datad(b_o_iv_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_44_a_2_.operation_mode="normal";
defparam shift_out_44_a_2_.output_mode="comb_only";
defparam shift_out_44_a_2_.lut_mask="195d";
defparam shift_out_44_a_2_.synch_mode="off";
defparam shift_out_44_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_44_3_ (
        .combout(shift_out_44[3]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_15),
        .datac(b_o_iv_0_16),
        .datad(shift_out_44_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_44_3_.operation_mode="normal";
defparam shift_out_44_3_.output_mode="comb_only";
defparam shift_out_44_3_.lut_mask="44fa";
defparam shift_out_44_3_.synch_mode="off";
defparam shift_out_44_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_44_a_3_ (
        .combout(shift_out_44_a[3]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_29),
        .datad(b_o_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_44_a_3_.operation_mode="normal";
defparam shift_out_44_a_3_.output_mode="comb_only";
defparam shift_out_44_a_3_.lut_mask="159d";
defparam shift_out_44_a_3_.synch_mode="off";
defparam shift_out_44_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_45_28_ (
        .combout(shift_out_45[28]),
        .dataa(a_o_1),
        .datab(b_o_iv_3),
        .datac(b_o_iv_4),
        .datad(shift_out_45_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_45_28_.operation_mode="normal";
defparam shift_out_45_28_.output_mode="comb_only";
defparam shift_out_45_28_.lut_mask="50ee";
defparam shift_out_45_28_.synch_mode="off";
defparam shift_out_45_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_45_a_28_ (
        .combout(shift_out_45_a[28]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_2),
        .datad(b_o_iv_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_45_a_28_.operation_mode="normal";
defparam shift_out_45_a_28_.output_mode="comb_only";
defparam shift_out_45_a_28_.lut_mask="159d";
defparam shift_out_45_a_28_.synch_mode="off";
defparam shift_out_45_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_45_29_ (
        .combout(shift_out_45[29]),
        .dataa(a_o_1),
        .datab(b_o_iv_5),
        .datac(b_o_iv_4),
        .datad(shift_out_45_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_45_29_.operation_mode="normal";
defparam shift_out_45_29_.output_mode="comb_only";
defparam shift_out_45_29_.lut_mask="44fa";
defparam shift_out_45_29_.synch_mode="off";
defparam shift_out_45_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_45_a_29_ (
        .combout(shift_out_45_a[29]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_3),
        .datad(b_o_iv_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_45_a_29_.operation_mode="normal";
defparam shift_out_45_a_29_.output_mode="comb_only";
defparam shift_out_45_a_29_.lut_mask="159d";
defparam shift_out_45_a_29_.synch_mode="off";
defparam shift_out_45_a_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_45_30_ (
        .combout(shift_out_45[30]),
        .dataa(a_o_1),
        .datab(b_o_iv_5),
        .datac(b_o_iv_6),
        .datad(shift_out_45_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_45_30_.operation_mode="normal";
defparam shift_out_45_30_.output_mode="comb_only";
defparam shift_out_45_30_.lut_mask="50ee";
defparam shift_out_45_30_.synch_mode="off";
defparam shift_out_45_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_45_a_30_ (
        .combout(shift_out_45_a[30]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_3),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_45_a_30_.operation_mode="normal";
defparam shift_out_45_a_30_.output_mode="comb_only";
defparam shift_out_45_a_30_.lut_mask="195d";
defparam shift_out_45_a_30_.synch_mode="off";
defparam shift_out_45_a_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_45_31_ (
        .combout(shift_out_45[31]),
        .dataa(a_o_1),
        .datab(b_o_iv_6),
        .datac(b_o_iv_7),
        .datad(shift_out_45_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_45_31_.operation_mode="normal";
defparam shift_out_45_31_.output_mode="comb_only";
defparam shift_out_45_31_.lut_mask="50ee";
defparam shift_out_45_31_.synch_mode="off";
defparam shift_out_45_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_45_a_31_ (
        .combout(shift_out_45_a[31]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_5),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_45_a_31_.operation_mode="normal";
defparam shift_out_45_a_31_.output_mode="comb_only";
defparam shift_out_45_a_31_.lut_mask="159d";
defparam shift_out_45_a_31_.synch_mode="off";
defparam shift_out_45_a_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_47_1_ (
        .combout(shift_out_47[1]),
        .dataa(a_o_1),
        .datab(b_o_iv_21),
        .datac(b_o_iv_22),
        .datad(shift_out_47_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_47_1_.operation_mode="normal";
defparam shift_out_47_1_.output_mode="comb_only";
defparam shift_out_47_1_.lut_mask="44fa";
defparam shift_out_47_1_.synch_mode="off";
defparam shift_out_47_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_47_a_1_ (
        .combout(shift_out_47_a[1]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_23),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_47_a_1_.operation_mode="normal";
defparam shift_out_47_a_1_.output_mode="comb_only";
defparam shift_out_47_a_1_.lut_mask="159d";
defparam shift_out_47_a_1_.synch_mode="off";
defparam shift_out_47_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_47_3_ (
        .combout(shift_out_47[3]),
        .dataa(a_o_1),
        .datab(b_o_iv_23),
        .datac(b_o_iv_24),
        .datad(shift_out_47_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_47_3_.operation_mode="normal";
defparam shift_out_47_3_.output_mode="comb_only";
defparam shift_out_47_3_.lut_mask="44fa";
defparam shift_out_47_3_.synch_mode="off";
defparam shift_out_47_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_47_a_3_ (
        .combout(shift_out_47_a[3]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_25),
        .datad(b_o_iv_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_47_a_3_.operation_mode="normal";
defparam shift_out_47_a_3_.output_mode="comb_only";
defparam shift_out_47_a_3_.lut_mask="159d";
defparam shift_out_47_a_3_.synch_mode="off";
defparam shift_out_47_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_48_28_ (
        .combout(shift_out_48[28]),
        .dataa(a_o_1),
        .datab(b_o_iv_7),
        .datac(b_o_iv_8),
        .datad(shift_out_48_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_48_28_.operation_mode="normal";
defparam shift_out_48_28_.output_mode="comb_only";
defparam shift_out_48_28_.lut_mask="50ee";
defparam shift_out_48_28_.synch_mode="off";
defparam shift_out_48_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_48_a_28_ (
        .combout(shift_out_48_a[28]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_5),
        .datad(b_o_iv_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_48_a_28_.operation_mode="normal";
defparam shift_out_48_a_28_.output_mode="comb_only";
defparam shift_out_48_a_28_.lut_mask="195d";
defparam shift_out_48_a_28_.synch_mode="off";
defparam shift_out_48_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_48_29_ (
        .combout(shift_out_48[29]),
        .dataa(a_o_1),
        .datab(b_o_iv_8),
        .datac(b_o_iv_9),
        .datad(shift_out_48_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_48_29_.operation_mode="normal";
defparam shift_out_48_29_.output_mode="comb_only";
defparam shift_out_48_29_.lut_mask="50ee";
defparam shift_out_48_29_.synch_mode="off";
defparam shift_out_48_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_48_a_29_ (
        .combout(shift_out_48_a[29]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_6),
        .datad(b_o_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_48_a_29_.operation_mode="normal";
defparam shift_out_48_a_29_.output_mode="comb_only";
defparam shift_out_48_a_29_.lut_mask="195d";
defparam shift_out_48_a_29_.synch_mode="off";
defparam shift_out_48_a_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_48_30_ (
        .combout(shift_out_48[30]),
        .dataa(a_o_1),
        .datab(b_o_iv_9),
        .datac(b_o_iv_10),
        .datad(shift_out_48_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_48_30_.operation_mode="normal";
defparam shift_out_48_30_.output_mode="comb_only";
defparam shift_out_48_30_.lut_mask="50ee";
defparam shift_out_48_30_.synch_mode="off";
defparam shift_out_48_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_48_a_30_ (
        .combout(shift_out_48_a[30]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_7),
        .datad(b_o_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_48_a_30_.operation_mode="normal";
defparam shift_out_48_a_30_.output_mode="comb_only";
defparam shift_out_48_a_30_.lut_mask="195d";
defparam shift_out_48_a_30_.synch_mode="off";
defparam shift_out_48_a_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_48_31_ (
        .combout(shift_out_48[31]),
        .dataa(a_o_1),
        .datab(b_o_iv_10),
        .datac(b_o_iv_11),
        .datad(shift_out_48_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_48_31_.operation_mode="normal";
defparam shift_out_48_31_.output_mode="comb_only";
defparam shift_out_48_31_.lut_mask="50ee";
defparam shift_out_48_31_.synch_mode="off";
defparam shift_out_48_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_48_a_31_ (
        .combout(shift_out_48_a[31]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_9),
        .datad(b_o_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_48_a_31_.operation_mode="normal";
defparam shift_out_48_a_31_.output_mode="comb_only";
defparam shift_out_48_a_31_.lut_mask="159d";
defparam shift_out_48_a_31_.synch_mode="off";
defparam shift_out_48_a_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_50_1_ (
        .combout(shift_out_50[1]),
        .dataa(a_o_1),
        .datab(b_o_iv_18),
        .datac(b_o_iv_17),
        .datad(shift_out_50_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_50_1_.operation_mode="normal";
defparam shift_out_50_1_.output_mode="comb_only";
defparam shift_out_50_1_.lut_mask="50ee";
defparam shift_out_50_1_.synch_mode="off";
defparam shift_out_50_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_50_a_1_ (
        .combout(shift_out_50_a[1]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_20),
        .datad(b_o_iv_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_50_a_1_.operation_mode="normal";
defparam shift_out_50_a_1_.output_mode="comb_only";
defparam shift_out_50_a_1_.lut_mask="195d";
defparam shift_out_50_a_1_.synch_mode="off";
defparam shift_out_50_a_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_50_3_ (
        .combout(shift_out_50[3]),
        .dataa(a_o_1),
        .datab(b_o_iv_20),
        .datac(b_o_iv_19),
        .datad(shift_out_50_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_50_3_.operation_mode="normal";
defparam shift_out_50_3_.output_mode="comb_only";
defparam shift_out_50_3_.lut_mask="50ee";
defparam shift_out_50_3_.synch_mode="off";
defparam shift_out_50_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_50_a_3_ (
        .combout(shift_out_50_a[3]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_21),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_50_a_3_.operation_mode="normal";
defparam shift_out_50_a_3_.output_mode="comb_only";
defparam shift_out_50_a_3_.lut_mask="159d";
defparam shift_out_50_a_3_.synch_mode="off";
defparam shift_out_50_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_52_30_ (
        .combout(shift_out_52[30]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_0),
        .datac(b_o_iv_11),
        .datad(shift_out_52_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_52_30_.operation_mode="normal";
defparam shift_out_52_30_.output_mode="comb_only";
defparam shift_out_52_30_.lut_mask="88f5";
defparam shift_out_52_30_.synch_mode="off";
defparam shift_out_52_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_52_a_30_ (
        .combout(shift_out_52_a[30]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_14),
        .datad(b_o_iv_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_52_a_30_.operation_mode="normal";
defparam shift_out_52_a_30_.output_mode="comb_only";
defparam shift_out_52_a_30_.lut_mask="4567";
defparam shift_out_52_a_30_.synch_mode="off";
defparam shift_out_52_a_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_52_31_ (
        .combout(shift_out_52[31]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_0),
        .datac(b_o_iv_13),
        .datad(shift_out_52_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_52_31_.operation_mode="normal";
defparam shift_out_52_31_.output_mode="comb_only";
defparam shift_out_52_31_.lut_mask="a0dd";
defparam shift_out_52_31_.synch_mode="off";
defparam shift_out_52_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_52_a_31_ (
        .combout(shift_out_52_a[31]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_14),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_52_a_31_.operation_mode="normal";
defparam shift_out_52_a_31_.output_mode="comb_only";
defparam shift_out_52_a_31_.lut_mask="4657";
defparam shift_out_52_a_31_.synch_mode="off";
defparam shift_out_52_a_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_53_3_ (
        .combout(shift_out_53[3]),
        .dataa(a_o_1),
        .datab(b_o_iv_15),
        .datac(b_o_iv_16),
        .datad(shift_out_53_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_53_3_.operation_mode="normal";
defparam shift_out_53_3_.output_mode="comb_only";
defparam shift_out_53_3_.lut_mask="44fa";
defparam shift_out_53_3_.synch_mode="off";
defparam shift_out_53_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_53_a_3_ (
        .combout(shift_out_53_a[3]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_18),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_53_a_3_.operation_mode="normal";
defparam shift_out_53_a_3_.output_mode="comb_only";
defparam shift_out_53_a_3_.lut_mask="195d";
defparam shift_out_53_a_3_.synch_mode="off";
defparam shift_out_53_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_54_28_ (
        .combout(shift_out_54[28]),
        .dataa(a_o_1),
        .datab(b_o_iv_15),
        .datac(b_o_iv_16),
        .datad(shift_out_54_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_54_28_.operation_mode="normal";
defparam shift_out_54_28_.output_mode="comb_only";
defparam shift_out_54_28_.lut_mask="50ee";
defparam shift_out_54_28_.synch_mode="off";
defparam shift_out_54_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_54_a_28_ (
        .combout(shift_out_54_a[28]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_14),
        .datad(b_o_iv_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_54_a_28_.operation_mode="normal";
defparam shift_out_54_a_28_.output_mode="comb_only";
defparam shift_out_54_a_28_.lut_mask="159d";
defparam shift_out_54_a_28_.synch_mode="off";
defparam shift_out_54_a_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_54_29_ (
        .combout(shift_out_54[29]),
        .dataa(a_o_1),
        .datab(b_o_iv_17),
        .datac(b_o_iv_16),
        .datad(shift_out_54_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_54_29_.operation_mode="normal";
defparam shift_out_54_29_.output_mode="comb_only";
defparam shift_out_54_29_.lut_mask="44fa";
defparam shift_out_54_29_.synch_mode="off";
defparam shift_out_54_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_54_a_29_ (
        .combout(shift_out_54_a[29]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_14),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_54_a_29_.operation_mode="normal";
defparam shift_out_54_a_29_.output_mode="comb_only";
defparam shift_out_54_a_29_.lut_mask="195d";
defparam shift_out_54_a_29_.synch_mode="off";
defparam shift_out_54_a_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_54_30_ (
        .combout(shift_out_54[30]),
        .dataa(a_o_1),
        .datab(b_o_iv_18),
        .datac(b_o_iv_17),
        .datad(shift_out_54_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_54_30_.operation_mode="normal";
defparam shift_out_54_30_.output_mode="comb_only";
defparam shift_out_54_30_.lut_mask="44fa";
defparam shift_out_54_30_.synch_mode="off";
defparam shift_out_54_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_54_a_30_ (
        .combout(shift_out_54_a[30]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_15),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_54_a_30_.operation_mode="normal";
defparam shift_out_54_a_30_.output_mode="comb_only";
defparam shift_out_54_a_30_.lut_mask="195d";
defparam shift_out_54_a_30_.synch_mode="off";
defparam shift_out_54_a_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_54_31_ (
        .combout(shift_out_54[31]),
        .dataa(a_o_1),
        .datab(b_o_iv_19),
        .datac(b_o_iv_18),
        .datad(shift_out_54_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_54_31_.operation_mode="normal";
defparam shift_out_54_31_.output_mode="comb_only";
defparam shift_out_54_31_.lut_mask="44fa";
defparam shift_out_54_31_.synch_mode="off";
defparam shift_out_54_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_54_a_31_ (
        .combout(shift_out_54_a[31]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_17),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_54_a_31_.operation_mode="normal";
defparam shift_out_54_a_31_.output_mode="comb_only";
defparam shift_out_54_a_31_.lut_mask="159d";
defparam shift_out_54_a_31_.synch_mode="off";
defparam shift_out_54_a_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_61_13_ (
        .combout(shift_out_61[13]),
        .dataa(VCC),
        .datab(shift_out_63_a[17]),
        .datac(b_o_iv_31),
        .datad(shift_out_41_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_61_13_.operation_mode="normal";
defparam shift_out_61_13_.output_mode="comb_only";
defparam shift_out_61_13_.lut_mask="30fc";
defparam shift_out_61_13_.synch_mode="off";
defparam shift_out_61_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_74_13_ (
        .combout(shift_out_74[13]),
        .dataa(a_o_1),
        .datab(shift_out_84_1_0_s[31]),
        .datac(b_o_iv_31),
        .datad(shift_out_41_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_74_13_.operation_mode="normal";
defparam shift_out_74_13_.output_mode="comb_only";
defparam shift_out_74_13_.lut_mask="b0f4";
defparam shift_out_74_13_.synch_mode="off";
defparam shift_out_74_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_3_ (
        .combout(shift_out_79[3]),
        .dataa(a_o_0),
        .datab(b_o_iv_0_0),
        .datac(b_o_iv_14),
        .datad(shift_out_79_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_3_.operation_mode="normal";
defparam shift_out_79_3_.output_mode="comb_only";
defparam shift_out_79_3_.lut_mask="88f5";
defparam shift_out_79_3_.synch_mode="off";
defparam shift_out_79_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_3_ (
        .combout(shift_out_79_a[3]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_13),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_3_.operation_mode="normal";
defparam shift_out_79_a_3_.output_mode="comb_only";
defparam shift_out_79_a_3_.lut_mask="4657";
defparam shift_out_79_a_3_.synch_mode="off";
defparam shift_out_79_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_4_ (
        .combout(shift_out_79[4]),
        .dataa(a_o_0),
        .datab(b_o_iv_0_0),
        .datac(b_o_iv_14),
        .datad(shift_out_79_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_4_.operation_mode="normal";
defparam shift_out_79_4_.output_mode="comb_only";
defparam shift_out_79_4_.lut_mask="44fa";
defparam shift_out_79_4_.synch_mode="off";
defparam shift_out_79_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_4_ (
        .combout(shift_out_79_a[4]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_13),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_4_.operation_mode="normal";
defparam shift_out_79_a_4_.output_mode="comb_only";
defparam shift_out_79_a_4_.lut_mask="159d";
defparam shift_out_79_a_4_.synch_mode="off";
defparam shift_out_79_a_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_5_ (
        .combout(shift_out_79[5]),
        .dataa(a_o_0),
        .datab(b_o_iv_13),
        .datac(b_o_iv_15),
        .datad(shift_out_79_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_5_.operation_mode="normal";
defparam shift_out_79_5_.output_mode="comb_only";
defparam shift_out_79_5_.lut_mask="44fa";
defparam shift_out_79_5_.synch_mode="off";
defparam shift_out_79_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_5_ (
        .combout(shift_out_79_a[5]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_14),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_5_.operation_mode="normal";
defparam shift_out_79_a_5_.output_mode="comb_only";
defparam shift_out_79_a_5_.lut_mask="159d";
defparam shift_out_79_a_5_.synch_mode="off";
defparam shift_out_79_a_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_6_ (
        .combout(shift_out_79[6]),
        .dataa(a_o_0),
        .datab(b_o_iv_14),
        .datac(b_o_iv_16),
        .datad(shift_out_79_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_6_.operation_mode="normal";
defparam shift_out_79_6_.output_mode="comb_only";
defparam shift_out_79_6_.lut_mask="44fa";
defparam shift_out_79_6_.synch_mode="off";
defparam shift_out_79_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_6_ (
        .combout(shift_out_79_a[6]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_15),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_6_.operation_mode="normal";
defparam shift_out_79_a_6_.output_mode="comb_only";
defparam shift_out_79_a_6_.lut_mask="159d";
defparam shift_out_79_a_6_.synch_mode="off";
defparam shift_out_79_a_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_7_ (
        .combout(shift_out_79[7]),
        .dataa(a_o_0),
        .datab(b_o_iv_17),
        .datac(b_o_iv_15),
        .datad(shift_out_79_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_7_.operation_mode="normal";
defparam shift_out_79_7_.output_mode="comb_only";
defparam shift_out_79_7_.lut_mask="50ee";
defparam shift_out_79_7_.synch_mode="off";
defparam shift_out_79_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_7_ (
        .combout(shift_out_79_a[7]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_18),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_7_.operation_mode="normal";
defparam shift_out_79_a_7_.output_mode="comb_only";
defparam shift_out_79_a_7_.lut_mask="195d";
defparam shift_out_79_a_7_.synch_mode="off";
defparam shift_out_79_a_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_8_ (
        .combout(shift_out_79[8]),
        .dataa(a_o_0),
        .datab(b_o_iv_18),
        .datac(b_o_iv_16),
        .datad(shift_out_79_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_8_.operation_mode="normal";
defparam shift_out_79_8_.output_mode="comb_only";
defparam shift_out_79_8_.lut_mask="50ee";
defparam shift_out_79_8_.synch_mode="off";
defparam shift_out_79_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_8_ (
        .combout(shift_out_79_a[8]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_19),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_8_.operation_mode="normal";
defparam shift_out_79_a_8_.output_mode="comb_only";
defparam shift_out_79_a_8_.lut_mask="195d";
defparam shift_out_79_a_8_.synch_mode="off";
defparam shift_out_79_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_9_ (
        .combout(shift_out_79[9]),
        .dataa(a_o_0),
        .datab(b_o_iv_19),
        .datac(b_o_iv_17),
        .datad(shift_out_79_a[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_9_.operation_mode="normal";
defparam shift_out_79_9_.output_mode="comb_only";
defparam shift_out_79_9_.lut_mask="50ee";
defparam shift_out_79_9_.synch_mode="off";
defparam shift_out_79_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_9_ (
        .combout(shift_out_79_a[9]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_20),
        .datad(b_o_iv_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_9_.operation_mode="normal";
defparam shift_out_79_a_9_.output_mode="comb_only";
defparam shift_out_79_a_9_.lut_mask="195d";
defparam shift_out_79_a_9_.synch_mode="off";
defparam shift_out_79_a_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_10_ (
        .combout(shift_out_79[10]),
        .dataa(a_o_0),
        .datab(b_o_iv_20),
        .datac(b_o_iv_18),
        .datad(shift_out_79_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_10_.operation_mode="normal";
defparam shift_out_79_10_.output_mode="comb_only";
defparam shift_out_79_10_.lut_mask="50ee";
defparam shift_out_79_10_.synch_mode="off";
defparam shift_out_79_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_10_ (
        .combout(shift_out_79_a[10]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_21),
        .datad(b_o_iv_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_10_.operation_mode="normal";
defparam shift_out_79_a_10_.output_mode="comb_only";
defparam shift_out_79_a_10_.lut_mask="195d";
defparam shift_out_79_a_10_.synch_mode="off";
defparam shift_out_79_a_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_11_ (
        .combout(shift_out_79[11]),
        .dataa(a_o_0),
        .datab(b_o_iv_21),
        .datac(b_o_iv_19),
        .datad(shift_out_79_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_11_.operation_mode="normal";
defparam shift_out_79_11_.output_mode="comb_only";
defparam shift_out_79_11_.lut_mask="50ee";
defparam shift_out_79_11_.synch_mode="off";
defparam shift_out_79_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_11_ (
        .combout(shift_out_79_a[11]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_20),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_11_.operation_mode="normal";
defparam shift_out_79_a_11_.output_mode="comb_only";
defparam shift_out_79_a_11_.lut_mask="159d";
defparam shift_out_79_a_11_.synch_mode="off";
defparam shift_out_79_a_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_12_ (
        .combout(shift_out_79[12]),
        .dataa(a_o_0),
        .datab(b_o_iv_20),
        .datac(b_o_iv_22),
        .datad(shift_out_79_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_12_.operation_mode="normal";
defparam shift_out_79_12_.output_mode="comb_only";
defparam shift_out_79_12_.lut_mask="44fa";
defparam shift_out_79_12_.synch_mode="off";
defparam shift_out_79_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_12_ (
        .combout(shift_out_79_a[12]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_21),
        .datad(b_o_iv_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_12_.operation_mode="normal";
defparam shift_out_79_a_12_.output_mode="comb_only";
defparam shift_out_79_a_12_.lut_mask="159d";
defparam shift_out_79_a_12_.synch_mode="off";
defparam shift_out_79_a_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_13_ (
        .combout(shift_out_79[13]),
        .dataa(a_o_0),
        .datab(b_o_iv_21),
        .datac(b_o_iv_23),
        .datad(shift_out_79_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_13_.operation_mode="normal";
defparam shift_out_79_13_.output_mode="comb_only";
defparam shift_out_79_13_.lut_mask="44fa";
defparam shift_out_79_13_.synch_mode="off";
defparam shift_out_79_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_13_ (
        .combout(shift_out_79_a[13]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_24),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_13_.operation_mode="normal";
defparam shift_out_79_a_13_.output_mode="comb_only";
defparam shift_out_79_a_13_.lut_mask="195d";
defparam shift_out_79_a_13_.synch_mode="off";
defparam shift_out_79_a_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_14_ (
        .combout(shift_out_79[14]),
        .dataa(a_o_0),
        .datab(b_o_iv_24),
        .datac(b_o_iv_22),
        .datad(shift_out_79_a[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_14_.operation_mode="normal";
defparam shift_out_79_14_.output_mode="comb_only";
defparam shift_out_79_14_.lut_mask="50ee";
defparam shift_out_79_14_.synch_mode="off";
defparam shift_out_79_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_14_ (
        .combout(shift_out_79_a[14]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_25),
        .datad(b_o_iv_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_14_.operation_mode="normal";
defparam shift_out_79_a_14_.output_mode="comb_only";
defparam shift_out_79_a_14_.lut_mask="195d";
defparam shift_out_79_a_14_.synch_mode="off";
defparam shift_out_79_a_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_15_ (
        .combout(shift_out_79[15]),
        .dataa(a_o_0),
        .datab(b_o_iv_25),
        .datac(b_o_iv_23),
        .datad(shift_out_79_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_15_.operation_mode="normal";
defparam shift_out_79_15_.output_mode="comb_only";
defparam shift_out_79_15_.lut_mask="50ee";
defparam shift_out_79_15_.synch_mode="off";
defparam shift_out_79_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_15_ (
        .combout(shift_out_79_a[15]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_26),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_15_.operation_mode="normal";
defparam shift_out_79_a_15_.output_mode="comb_only";
defparam shift_out_79_a_15_.lut_mask="195d";
defparam shift_out_79_a_15_.synch_mode="off";
defparam shift_out_79_a_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_16_ (
        .combout(shift_out_79[16]),
        .dataa(a_o_0),
        .datab(b_o_iv_25),
        .datac(b_o_iv_0_15),
        .datad(shift_out_79_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_16_.operation_mode="normal";
defparam shift_out_79_16_.output_mode="comb_only";
defparam shift_out_79_16_.lut_mask="88f5";
defparam shift_out_79_16_.synch_mode="off";
defparam shift_out_79_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_16_ (
        .combout(shift_out_79_a[16]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_26),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_16_.operation_mode="normal";
defparam shift_out_79_a_16_.output_mode="comb_only";
defparam shift_out_79_a_16_.lut_mask="4657";
defparam shift_out_79_a_16_.synch_mode="off";
defparam shift_out_79_a_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_17_ (
        .combout(shift_out_79[17]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_15),
        .datac(b_o_iv_0_16),
        .datad(shift_out_79_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_17_.operation_mode="normal";
defparam shift_out_79_17_.output_mode="comb_only";
defparam shift_out_79_17_.lut_mask="88f5";
defparam shift_out_79_17_.synch_mode="off";
defparam shift_out_79_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_17_ (
        .combout(shift_out_79_a[17]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_25),
        .datad(b_o_iv_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_17_.operation_mode="normal";
defparam shift_out_79_a_17_.output_mode="comb_only";
defparam shift_out_79_a_17_.lut_mask="2367";
defparam shift_out_79_a_17_.synch_mode="off";
defparam shift_out_79_a_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_18_ (
        .combout(shift_out_79[18]),
        .dataa(a_o_0),
        .datab(b_o_iv_26),
        .datac(b_o_iv_0_16),
        .datad(shift_out_79_a[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_18_.operation_mode="normal";
defparam shift_out_79_18_.output_mode="comb_only";
defparam shift_out_79_18_.lut_mask="44fa";
defparam shift_out_79_18_.synch_mode="off";
defparam shift_out_79_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_18_ (
        .combout(shift_out_79_a[18]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_29),
        .datad(b_o_iv_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_18_.operation_mode="normal";
defparam shift_out_79_a_18_.output_mode="comb_only";
defparam shift_out_79_a_18_.lut_mask="195d";
defparam shift_out_79_a_18_.synch_mode="off";
defparam shift_out_79_a_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_19_ (
        .combout(shift_out_79[19]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_15),
        .datac(b_o_iv_0_16),
        .datad(shift_out_79_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_19_.operation_mode="normal";
defparam shift_out_79_19_.output_mode="comb_only";
defparam shift_out_79_19_.lut_mask="44fa";
defparam shift_out_79_19_.synch_mode="off";
defparam shift_out_79_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_19_ (
        .combout(shift_out_79_a[19]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_29),
        .datad(b_o_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_19_.operation_mode="normal";
defparam shift_out_79_a_19_.output_mode="comb_only";
defparam shift_out_79_a_19_.lut_mask="139b";
defparam shift_out_79_a_19_.synch_mode="off";
defparam shift_out_79_a_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_20_ (
        .combout(shift_out_79[20]),
        .dataa(a_o_0),
        .datab(b_o_iv_30),
        .datac(b_o_iv_0_16),
        .datad(shift_out_79_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_20_.operation_mode="normal";
defparam shift_out_79_20_.output_mode="comb_only";
defparam shift_out_79_20_.lut_mask="50ee";
defparam shift_out_79_20_.synch_mode="off";
defparam shift_out_79_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_20_ (
        .combout(shift_out_79_a[20]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_29),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_20_.operation_mode="normal";
defparam shift_out_79_a_20_.output_mode="comb_only";
defparam shift_out_79_a_20_.lut_mask="159d";
defparam shift_out_79_a_20_.synch_mode="off";
defparam shift_out_79_a_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_21_ (
        .combout(shift_out_79[21]),
        .dataa(a_o_0),
        .datab(b_o_iv_30),
        .datac(shift_out_79_a[21]),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_21_.operation_mode="normal";
defparam shift_out_79_21_.output_mode="comb_only";
defparam shift_out_79_21_.lut_mask="8f85";
defparam shift_out_79_21_.synch_mode="off";
defparam shift_out_79_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_21_ (
        .combout(shift_out_79_a[21]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_29),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_21_.operation_mode="normal";
defparam shift_out_79_a_21_.output_mode="comb_only";
defparam shift_out_79_a_21_.lut_mask="4567";
defparam shift_out_79_a_21_.synch_mode="off";
defparam shift_out_79_a_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_22_ (
        .combout(shift_out_79[22]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(shift_out_83_a[14]),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_22_.operation_mode="normal";
defparam shift_out_79_22_.output_mode="comb_only";
defparam shift_out_79_22_.lut_mask="cf03";
defparam shift_out_79_22_.synch_mode="off";
defparam shift_out_79_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_2_ (
        .combout(shift_out_80[2]),
        .dataa(a_o_2),
        .datab(b_o_iv_7),
        .datac(b_o_iv_9),
        .datad(shift_out_80_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_2_.operation_mode="normal";
defparam shift_out_80_2_.output_mode="comb_only";
defparam shift_out_80_2_.lut_mask="88f5";
defparam shift_out_80_2_.synch_mode="off";
defparam shift_out_80_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_2_ (
        .combout(shift_out_80_a[2]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_3),
        .datad(b_o_iv_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_2_.operation_mode="normal";
defparam shift_out_80_a_2_.output_mode="comb_only";
defparam shift_out_80_a_2_.lut_mask="2367";
defparam shift_out_80_a_2_.synch_mode="off";
defparam shift_out_80_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_3_ (
        .combout(shift_out_80[3]),
        .dataa(a_o_2),
        .datab(b_o_iv_8),
        .datac(b_o_iv_10),
        .datad(shift_out_80_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_3_.operation_mode="normal";
defparam shift_out_80_3_.output_mode="comb_only";
defparam shift_out_80_3_.lut_mask="88f5";
defparam shift_out_80_3_.synch_mode="off";
defparam shift_out_80_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_3_ (
        .combout(shift_out_80_a[3]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_6),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_3_.operation_mode="normal";
defparam shift_out_80_a_3_.output_mode="comb_only";
defparam shift_out_80_a_3_.lut_mask="2637";
defparam shift_out_80_a_3_.synch_mode="off";
defparam shift_out_80_a_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_4_ (
        .combout(shift_out_80[4]),
        .dataa(a_o_2),
        .datab(b_o_iv_9),
        .datac(b_o_iv_11),
        .datad(shift_out_80_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_4_.operation_mode="normal";
defparam shift_out_80_4_.output_mode="comb_only";
defparam shift_out_80_4_.lut_mask="88f5";
defparam shift_out_80_4_.synch_mode="off";
defparam shift_out_80_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_4_ (
        .combout(shift_out_80_a[4]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_5),
        .datad(b_o_iv_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_4_.operation_mode="normal";
defparam shift_out_80_a_4_.output_mode="comb_only";
defparam shift_out_80_a_4_.lut_mask="2367";
defparam shift_out_80_a_4_.synch_mode="off";
defparam shift_out_80_a_4_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_5_ (
        .combout(shift_out_80[5]),
        .dataa(a_o_2),
        .datab(b_o_iv_10),
        .datac(b_o_iv_0_0),
        .datad(shift_out_80_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_5_.operation_mode="normal";
defparam shift_out_80_5_.output_mode="comb_only";
defparam shift_out_80_5_.lut_mask="88f5";
defparam shift_out_80_5_.synch_mode="off";
defparam shift_out_80_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_5_ (
        .combout(shift_out_80_a[5]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_6),
        .datad(b_o_iv_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_5_.operation_mode="normal";
defparam shift_out_80_a_5_.output_mode="comb_only";
defparam shift_out_80_a_5_.lut_mask="2367";
defparam shift_out_80_a_5_.synch_mode="off";
defparam shift_out_80_a_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_6_ (
        .combout(shift_out_80[6]),
        .dataa(a_o_2),
        .datab(b_o_iv_13),
        .datac(b_o_iv_11),
        .datad(shift_out_80_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_6_.operation_mode="normal";
defparam shift_out_80_6_.output_mode="comb_only";
defparam shift_out_80_6_.lut_mask="a0dd";
defparam shift_out_80_6_.synch_mode="off";
defparam shift_out_80_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_6_ (
        .combout(shift_out_80_a[6]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_7),
        .datad(b_o_iv_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_6_.operation_mode="normal";
defparam shift_out_80_a_6_.output_mode="comb_only";
defparam shift_out_80_a_6_.lut_mask="2367";
defparam shift_out_80_a_6_.synch_mode="off";
defparam shift_out_80_a_6_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_7_ (
        .combout(shift_out_80[7]),
        .dataa(a_o_2),
        .datab(b_o_iv_0_0),
        .datac(b_o_iv_14),
        .datad(shift_out_80_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_7_.operation_mode="normal";
defparam shift_out_80_7_.output_mode="comb_only";
defparam shift_out_80_7_.lut_mask="88f5";
defparam shift_out_80_7_.synch_mode="off";
defparam shift_out_80_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_7_ (
        .combout(shift_out_80_a[7]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_8),
        .datad(b_o_iv_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_7_.operation_mode="normal";
defparam shift_out_80_a_7_.output_mode="comb_only";
defparam shift_out_80_a_7_.lut_mask="2367";
defparam shift_out_80_a_7_.synch_mode="off";
defparam shift_out_80_a_7_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_8_ (
        .combout(shift_out_80[8]),
        .dataa(a_o_2),
        .datab(b_o_iv_13),
        .datac(b_o_iv_15),
        .datad(shift_out_80_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_8_.operation_mode="normal";
defparam shift_out_80_8_.output_mode="comb_only";
defparam shift_out_80_8_.lut_mask="88f5";
defparam shift_out_80_8_.synch_mode="off";
defparam shift_out_80_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_8_ (
        .combout(shift_out_80_a[8]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_9),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_8_.operation_mode="normal";
defparam shift_out_80_a_8_.output_mode="comb_only";
defparam shift_out_80_a_8_.lut_mask="2367";
defparam shift_out_80_a_8_.synch_mode="off";
defparam shift_out_80_a_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_9_ (
        .combout(shift_out_80[9]),
        .dataa(a_o_2),
        .datab(b_o_iv_10),
        .datac(b_o_iv_0_0),
        .datad(shift_out_80_a[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_9_.operation_mode="normal";
defparam shift_out_80_9_.output_mode="comb_only";
defparam shift_out_80_9_.lut_mask="44fa";
defparam shift_out_80_9_.synch_mode="off";
defparam shift_out_80_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_9_ (
        .combout(shift_out_80_a[9]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_14),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_9_.operation_mode="normal";
defparam shift_out_80_a_9_.output_mode="comb_only";
defparam shift_out_80_a_9_.lut_mask="139b";
defparam shift_out_80_a_9_.synch_mode="off";
defparam shift_out_80_a_9_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_10_ (
        .combout(shift_out_80[10]),
        .dataa(a_o_2),
        .datab(b_o_iv_15),
        .datac(b_o_iv_17),
        .datad(shift_out_80_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_10_.operation_mode="normal";
defparam shift_out_80_10_.output_mode="comb_only";
defparam shift_out_80_10_.lut_mask="88f5";
defparam shift_out_80_10_.synch_mode="off";
defparam shift_out_80_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_10_ (
        .combout(shift_out_80_a[10]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_13),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_10_.operation_mode="normal";
defparam shift_out_80_a_10_.output_mode="comb_only";
defparam shift_out_80_a_10_.lut_mask="2637";
defparam shift_out_80_a_10_.synch_mode="off";
defparam shift_out_80_a_10_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_11_ (
        .combout(shift_out_80[11]),
        .dataa(a_o_2),
        .datab(b_o_iv_0_0),
        .datac(b_o_iv_14),
        .datad(shift_out_80_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_11_.operation_mode="normal";
defparam shift_out_80_11_.output_mode="comb_only";
defparam shift_out_80_11_.lut_mask="44fa";
defparam shift_out_80_11_.synch_mode="off";
defparam shift_out_80_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_11_ (
        .combout(shift_out_80_a[11]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_18),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_11_.operation_mode="normal";
defparam shift_out_80_a_11_.output_mode="comb_only";
defparam shift_out_80_a_11_.lut_mask="193b";
defparam shift_out_80_a_11_.synch_mode="off";
defparam shift_out_80_a_11_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_12_ (
        .combout(shift_out_80[12]),
        .dataa(a_o_2),
        .datab(b_o_iv_19),
        .datac(b_o_iv_17),
        .datad(shift_out_80_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_12_.operation_mode="normal";
defparam shift_out_80_12_.output_mode="comb_only";
defparam shift_out_80_12_.lut_mask="a0dd";
defparam shift_out_80_12_.synch_mode="off";
defparam shift_out_80_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_12_ (
        .combout(shift_out_80_a[12]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_13),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_12_.operation_mode="normal";
defparam shift_out_80_a_12_.output_mode="comb_only";
defparam shift_out_80_a_12_.lut_mask="2367";
defparam shift_out_80_a_12_.synch_mode="off";
defparam shift_out_80_a_12_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_13_ (
        .combout(shift_out_80[13]),
        .dataa(a_o_2),
        .datab(b_o_iv_20),
        .datac(b_o_iv_18),
        .datad(shift_out_80_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_13_.operation_mode="normal";
defparam shift_out_80_13_.output_mode="comb_only";
defparam shift_out_80_13_.lut_mask="a0dd";
defparam shift_out_80_13_.synch_mode="off";
defparam shift_out_80_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_13_ (
        .combout(shift_out_80_a[13]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_14),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_13_.operation_mode="normal";
defparam shift_out_80_a_13_.output_mode="comb_only";
defparam shift_out_80_a_13_.lut_mask="2367";
defparam shift_out_80_a_13_.synch_mode="off";
defparam shift_out_80_a_13_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_14_ (
        .combout(shift_out_80[14]),
        .dataa(a_o_2),
        .datab(b_o_iv_21),
        .datac(b_o_iv_19),
        .datad(shift_out_80_a[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_14_.operation_mode="normal";
defparam shift_out_80_14_.output_mode="comb_only";
defparam shift_out_80_14_.lut_mask="a0dd";
defparam shift_out_80_14_.synch_mode="off";
defparam shift_out_80_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_14_ (
        .combout(shift_out_80_a[14]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_17),
        .datad(b_o_iv_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_14_.operation_mode="normal";
defparam shift_out_80_a_14_.output_mode="comb_only";
defparam shift_out_80_a_14_.lut_mask="2637";
defparam shift_out_80_a_14_.synch_mode="off";
defparam shift_out_80_a_14_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_15_ (
        .combout(shift_out_80[15]),
        .dataa(a_o_2),
        .datab(b_o_iv_20),
        .datac(b_o_iv_22),
        .datad(shift_out_80_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_15_.operation_mode="normal";
defparam shift_out_80_15_.output_mode="comb_only";
defparam shift_out_80_15_.lut_mask="88f5";
defparam shift_out_80_15_.synch_mode="off";
defparam shift_out_80_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_15_ (
        .combout(shift_out_80_a[15]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_18),
        .datad(b_o_iv_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_15_.operation_mode="normal";
defparam shift_out_80_a_15_.output_mode="comb_only";
defparam shift_out_80_a_15_.lut_mask="2637";
defparam shift_out_80_a_15_.synch_mode="off";
defparam shift_out_80_a_15_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_16_ (
        .combout(shift_out_80[16]),
        .dataa(a_o_2),
        .datab(b_o_iv_21),
        .datac(b_o_iv_23),
        .datad(shift_out_80_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_16_.operation_mode="normal";
defparam shift_out_80_16_.output_mode="comb_only";
defparam shift_out_80_16_.lut_mask="88f5";
defparam shift_out_80_16_.synch_mode="off";
defparam shift_out_80_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_16_ (
        .combout(shift_out_80_a[16]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_19),
        .datad(b_o_iv_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_16_.operation_mode="normal";
defparam shift_out_80_a_16_.output_mode="comb_only";
defparam shift_out_80_a_16_.lut_mask="2637";
defparam shift_out_80_a_16_.synch_mode="off";
defparam shift_out_80_a_16_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_17_ (
        .combout(shift_out_80[17]),
        .dataa(a_o_2),
        .datab(b_o_iv_24),
        .datac(b_o_iv_22),
        .datad(shift_out_80_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_17_.operation_mode="normal";
defparam shift_out_80_17_.output_mode="comb_only";
defparam shift_out_80_17_.lut_mask="a0dd";
defparam shift_out_80_17_.synch_mode="off";
defparam shift_out_80_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_17_ (
        .combout(shift_out_80_a[17]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_20),
        .datad(b_o_iv_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_17_.operation_mode="normal";
defparam shift_out_80_a_17_.output_mode="comb_only";
defparam shift_out_80_a_17_.lut_mask="2637";
defparam shift_out_80_a_17_.synch_mode="off";
defparam shift_out_80_a_17_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_18_ (
        .combout(shift_out_80[18]),
        .dataa(a_o_2),
        .datab(b_o_iv_25),
        .datac(b_o_iv_23),
        .datad(shift_out_80_a[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_18_.operation_mode="normal";
defparam shift_out_80_18_.output_mode="comb_only";
defparam shift_out_80_18_.lut_mask="a0dd";
defparam shift_out_80_18_.synch_mode="off";
defparam shift_out_80_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_18_ (
        .combout(shift_out_80_a[18]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_21),
        .datad(b_o_iv_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_18_.operation_mode="normal";
defparam shift_out_80_a_18_.output_mode="comb_only";
defparam shift_out_80_a_18_.lut_mask="2637";
defparam shift_out_80_a_18_.synch_mode="off";
defparam shift_out_80_a_18_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_19_ (
        .combout(shift_out_80[19]),
        .dataa(a_o_2),
        .datab(b_o_iv_26),
        .datac(b_o_iv_24),
        .datad(shift_out_80_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_19_.operation_mode="normal";
defparam shift_out_80_19_.output_mode="comb_only";
defparam shift_out_80_19_.lut_mask="a0dd";
defparam shift_out_80_19_.synch_mode="off";
defparam shift_out_80_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_19_ (
        .combout(shift_out_80_a[19]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_20),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_19_.operation_mode="normal";
defparam shift_out_80_a_19_.output_mode="comb_only";
defparam shift_out_80_a_19_.lut_mask="2367";
defparam shift_out_80_a_19_.synch_mode="off";
defparam shift_out_80_a_19_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_20_ (
        .combout(shift_out_80[20]),
        .dataa(a_o_1),
        .datab(b_o_iv_23),
        .datac(b_o_iv_0_15),
        .datad(shift_out_80_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_20_.operation_mode="normal";
defparam shift_out_80_20_.output_mode="comb_only";
defparam shift_out_80_20_.lut_mask="88f5";
defparam shift_out_80_20_.synch_mode="off";
defparam shift_out_80_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_20_ (
        .combout(shift_out_80_a[20]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_21),
        .datad(b_o_iv_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_20_.operation_mode="normal";
defparam shift_out_80_a_20_.output_mode="comb_only";
defparam shift_out_80_a_20_.lut_mask="4567";
defparam shift_out_80_a_20_.synch_mode="off";
defparam shift_out_80_a_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_21_ (
        .combout(shift_out_80[21]),
        .dataa(a_o_1),
        .datab(b_o_iv_24),
        .datac(b_o_iv_0_16),
        .datad(shift_out_80_a[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_21_.operation_mode="normal";
defparam shift_out_80_21_.output_mode="comb_only";
defparam shift_out_80_21_.lut_mask="88f5";
defparam shift_out_80_21_.synch_mode="off";
defparam shift_out_80_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_21_ (
        .combout(shift_out_80_a[21]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_26),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_21_.operation_mode="normal";
defparam shift_out_80_a_21_.output_mode="comb_only";
defparam shift_out_80_a_21_.lut_mask="4657";
defparam shift_out_80_a_21_.synch_mode="off";
defparam shift_out_80_a_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_22_ (
        .combout(shift_out_80[22]),
        .dataa(a_o_1),
        .datab(b_o_iv_23),
        .datac(b_o_iv_0_15),
        .datad(shift_out_80_a[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_22_.operation_mode="normal";
defparam shift_out_80_22_.output_mode="comb_only";
defparam shift_out_80_22_.lut_mask="44fa";
defparam shift_out_80_22_.synch_mode="off";
defparam shift_out_80_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_22_ (
        .combout(shift_out_80_a[22]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_25),
        .datad(b_o_iv_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_22_.operation_mode="normal";
defparam shift_out_80_a_22_.output_mode="comb_only";
defparam shift_out_80_a_22_.lut_mask="159d";
defparam shift_out_80_a_22_.synch_mode="off";
defparam shift_out_80_a_22_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_23_ (
        .combout(shift_out_80[23]),
        .dataa(a_o_1),
        .datab(b_o_iv_24),
        .datac(b_o_iv_0_16),
        .datad(shift_out_80_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_23_.operation_mode="normal";
defparam shift_out_80_23_.output_mode="comb_only";
defparam shift_out_80_23_.lut_mask="44fa";
defparam shift_out_80_23_.synch_mode="off";
defparam shift_out_80_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_23_ (
        .combout(shift_out_80_a[23]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_26),
        .datad(b_o_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_23_.operation_mode="normal";
defparam shift_out_80_a_23_.output_mode="comb_only";
defparam shift_out_80_a_23_.lut_mask="159d";
defparam shift_out_80_a_23_.synch_mode="off";
defparam shift_out_80_a_23_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_24_ (
        .combout(shift_out_80[24]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_15),
        .datac(shift_out_80_a[24]),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_24_.operation_mode="normal";
defparam shift_out_80_24_.output_mode="comb_only";
defparam shift_out_80_24_.lut_mask="8f85";
defparam shift_out_80_24_.synch_mode="off";
defparam shift_out_80_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_24_ (
        .combout(shift_out_80_a[24]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_25),
        .datad(b_o_iv_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_24_.operation_mode="normal";
defparam shift_out_80_a_24_.output_mode="comb_only";
defparam shift_out_80_a_24_.lut_mask="4567";
defparam shift_out_80_a_24_.synch_mode="off";
defparam shift_out_80_a_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_25_ (
        .combout(shift_out_80[25]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_16),
        .datac(shift_out_80_a[25]),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_25_.operation_mode="normal";
defparam shift_out_80_25_.output_mode="comb_only";
defparam shift_out_80_25_.lut_mask="8f85";
defparam shift_out_80_25_.synch_mode="off";
defparam shift_out_80_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_25_ (
        .combout(shift_out_80_a[25]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_26),
        .datad(b_o_iv_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_25_.operation_mode="normal";
defparam shift_out_80_a_25_.output_mode="comb_only";
defparam shift_out_80_a_25_.lut_mask="4567";
defparam shift_out_80_a_25_.synch_mode="off";
defparam shift_out_80_a_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_26_ (
        .combout(shift_out_80[26]),
        .dataa(a_o_1),
        .datab(b_o_iv_29),
        .datac(shift_out_80_a[26]),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_26_.operation_mode="normal";
defparam shift_out_80_26_.output_mode="comb_only";
defparam shift_out_80_26_.lut_mask="8f85";
defparam shift_out_80_26_.synch_mode="off";
defparam shift_out_80_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_26_ (
        .combout(shift_out_80_a[26]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_0_15),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_26_.operation_mode="normal";
defparam shift_out_80_a_26_.output_mode="comb_only";
defparam shift_out_80_a_26_.lut_mask="4567";
defparam shift_out_80_a_26_.synch_mode="off";
defparam shift_out_80_a_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_27_ (
        .combout(shift_out_80[27]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(shift_out_87_d_a[24]),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_27_.operation_mode="normal";
defparam shift_out_80_27_.output_mode="comb_only";
defparam shift_out_80_27_.lut_mask="cf03";
defparam shift_out_80_27_.synch_mode="off";
defparam shift_out_80_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_81_3_ (
        .combout(shift_out_81[3]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_2),
        .datad(shift_out_85_d_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_81_3_.operation_mode="normal";
defparam shift_out_81_3_.output_mode="comb_only";
defparam shift_out_81_3_.lut_mask="30fc";
defparam shift_out_81_3_.synch_mode="off";
defparam shift_out_81_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_81_24_ (
        .combout(shift_out_81[24]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_23),
        .datad(shift_out_85_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_81_24_.operation_mode="normal";
defparam shift_out_81_24_.output_mode="comb_only";
defparam shift_out_81_24_.lut_mask="30fc";
defparam shift_out_81_24_.synch_mode="off";
defparam shift_out_81_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_81_25_ (
        .combout(shift_out_81[25]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_24),
        .datad(shift_out_68[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_81_25_.operation_mode="normal";
defparam shift_out_81_25_.output_mode="comb_only";
defparam shift_out_81_25_.lut_mask="fc30";
defparam shift_out_81_25_.synch_mode="off";
defparam shift_out_81_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_81_26_ (
        .combout(shift_out_81[26]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_25),
        .datad(shift_out_68[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_81_26_.operation_mode="normal";
defparam shift_out_81_26_.output_mode="comb_only";
defparam shift_out_81_26_.lut_mask="fc30";
defparam shift_out_81_26_.synch_mode="off";
defparam shift_out_81_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_29_ (
        .combout(shift_out_87[29]),
        .dataa(a_o_0),
        .datab(b_o_iv_30),
        .datac(shift_out_63_a[17]),
        .datad(shift_out_87_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_29_.operation_mode="normal";
defparam shift_out_87_29_.output_mode="comb_only";
defparam shift_out_87_29_.lut_mask="80df";
defparam shift_out_87_29_.synch_mode="off";
defparam shift_out_87_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_87_a_29_ (
        .combout(shift_out_87_a[29]),
        .dataa(a_o_2),
        .datab(a_o_0),
        .datac(b_o_iv_31),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_87_a_29_.operation_mode="normal";
defparam shift_out_87_a_29_.output_mode="comb_only";
defparam shift_out_87_a_29_.lut_mask="01ef";
defparam shift_out_87_a_29_.synch_mode="off";
defparam shift_out_87_a_29_.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m7_i_cZ (
        .combout(shift_out_sn_m7_i),
        .dataa(VCC),
        .datab(shift_out587),
        .datac(a_o_3),
        .datad(a_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m7_i_cZ.operation_mode="normal";
defparam shift_out_sn_m7_i_cZ.output_mode="comb_only";
defparam shift_out_sn_m7_i_cZ.lut_mask="f333";
defparam shift_out_sn_m7_i_cZ.synch_mode="off";
defparam shift_out_sn_m7_i_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_42_3_ (
        .combout(shift_out_42[3]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(a_o_1),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_42_3_.operation_mode="normal";
defparam shift_out_42_3_.output_mode="comb_only";
defparam shift_out_42_3_.lut_mask="0300";
defparam shift_out_42_3_.synch_mode="off";
defparam shift_out_42_3_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_21_ (
        .combout(shift_out_90_1[21]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_0),
        .datac(b_o_iv_13),
        .datad(shift_out_90_1_a[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_21_.operation_mode="normal";
defparam shift_out_90_1_21_.output_mode="comb_only";
defparam shift_out_90_1_21_.lut_mask="50ee";
defparam shift_out_90_1_21_.synch_mode="off";
defparam shift_out_90_1_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_21_ (
        .combout(shift_out_90_1_a[21]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_10),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_21_.operation_mode="normal";
defparam shift_out_90_1_a_21_.output_mode="comb_only";
defparam shift_out_90_1_a_21_.lut_mask="195d";
defparam shift_out_90_1_a_21_.synch_mode="off";
defparam shift_out_90_1_a_21_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_20_ (
        .combout(shift_out_90_1[20]),
        .dataa(a_o_1),
        .datab(b_o_iv_0_0),
        .datac(b_o_iv_11),
        .datad(shift_out_90_1_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_20_.operation_mode="normal";
defparam shift_out_90_1_20_.output_mode="comb_only";
defparam shift_out_90_1_20_.lut_mask="44fa";
defparam shift_out_90_1_20_.synch_mode="off";
defparam shift_out_90_1_20_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_1_a_20_ (
        .combout(shift_out_90_1_a[20]),
        .dataa(a_o_0),
        .datab(a_o_1),
        .datac(b_o_iv_9),
        .datad(b_o_iv_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_1_a_20_.operation_mode="normal";
defparam shift_out_90_1_a_20_.output_mode="comb_only";
defparam shift_out_90_1_a_20_.lut_mask="195d";
defparam shift_out_90_1_a_20_.synch_mode="off";
defparam shift_out_90_1_a_20_.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m17_0_cZ (
        .combout(shift_out_sn_m17_0),
        .dataa(shift_out588),
        .datab(shift_out587),
        .datac(shift_out586),
        .datad(shift_out_sn_m17_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m17_0_cZ.operation_mode="normal";
defparam shift_out_sn_m17_0_cZ.output_mode="comb_only";
defparam shift_out_sn_m17_0_cZ.lut_mask="fe32";
defparam shift_out_sn_m17_0_cZ.synch_mode="off";
defparam shift_out_sn_m17_0_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_2_ (
        .combout(shift_out_79[2]),
        .dataa(a_o_0),
        .datab(b_o_iv_10),
        .datac(b_o_iv_0_0),
        .datad(shift_out_79_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_2_.operation_mode="normal";
defparam shift_out_79_2_.output_mode="comb_only";
defparam shift_out_79_2_.lut_mask="44fa";
defparam shift_out_79_2_.synch_mode="off";
defparam shift_out_79_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_2_ (
        .combout(shift_out_79_a[2]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_13),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_2_.operation_mode="normal";
defparam shift_out_79_a_2_.output_mode="comb_only";
defparam shift_out_79_a_2_.lut_mask="195d";
defparam shift_out_79_a_2_.synch_mode="off";
defparam shift_out_79_a_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_0_ (
        .combout(shift_out_80[0]),
        .dataa(a_o_2),
        .datab(b_o_iv_5),
        .datac(b_o_iv_7),
        .datad(shift_out_80_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_0_.operation_mode="normal";
defparam shift_out_80_0_.output_mode="comb_only";
defparam shift_out_80_0_.lut_mask="88f5";
defparam shift_out_80_0_.synch_mode="off";
defparam shift_out_80_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_80_a_0_ (
        .combout(shift_out_80_a[0]),
        .dataa(a_o_2),
        .datab(a_o_1),
        .datac(b_o_iv_3),
        .datad(b_o_iv_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_80_a_0_.operation_mode="normal";
defparam shift_out_80_a_0_.output_mode="comb_only";
defparam shift_out_80_a_0_.lut_mask="2637";
defparam shift_out_80_a_0_.synch_mode="off";
defparam shift_out_80_a_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_0_ (
        .combout(shift_out_79[0]),
        .dataa(a_o_0),
        .datab(b_o_iv_8),
        .datac(b_o_iv_10),
        .datad(shift_out_79_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_0_.operation_mode="normal";
defparam shift_out_79_0_.output_mode="comb_only";
defparam shift_out_79_0_.lut_mask="44fa";
defparam shift_out_79_0_.synch_mode="off";
defparam shift_out_79_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_79_a_0_ (
        .combout(shift_out_79_a[0]),
        .dataa(a_o_1),
        .datab(a_o_0),
        .datac(b_o_iv_9),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_79_a_0_.operation_mode="normal";
defparam shift_out_79_a_0_.output_mode="comb_only";
defparam shift_out_79_a_0_.lut_mask="159d";
defparam shift_out_79_a_0_.synch_mode="off";
defparam shift_out_79_a_0_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_89_2_0_s_8_ (
        .combout(shift_out_89_2_0_s[8]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_2),
        .datad(a_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_89_2_0_s_8_.operation_mode="normal";
defparam shift_out_89_2_0_s_8_.output_mode="comb_only";
defparam shift_out_89_2_0_s_8_.lut_mask="000f";
defparam shift_out_89_2_0_s_8_.synch_mode="off";
defparam shift_out_89_2_0_s_8_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_84_1_0_s_31_ (
        .combout(shift_out_84_1_0_s[31]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_3),
        .datad(a_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_84_1_0_s_31_.operation_mode="normal";
defparam shift_out_84_1_0_s_31_.output_mode="comb_only";
defparam shift_out_84_1_0_s_31_.lut_mask="000f";
defparam shift_out_84_1_0_s_31_.synch_mode="off";
defparam shift_out_84_1_0_s_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_77_s_31_ (
        .combout(shift_out_77_s[31]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_2),
        .datad(shift_out_63_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_77_s_31_.operation_mode="normal";
defparam shift_out_77_s_31_.output_mode="comb_only";
defparam shift_out_77_s_31_.lut_mask="000f";
defparam shift_out_77_s_31_.synch_mode="off";
defparam shift_out_77_s_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_85_s_25_ (
        .combout(shift_out_85_s[25]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_2),
        .datad(a_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_85_s_25_.operation_mode="normal";
defparam shift_out_85_s_25_.output_mode="comb_only";
defparam shift_out_85_s_25_.lut_mask="f000";
defparam shift_out_85_s_25_.synch_mode="off";
defparam shift_out_85_s_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_3_s_24_ (
        .combout(shift_out_90_3_s[24]),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_2),
        .datad(shift_out_90_sn_m3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_3_s_24_.operation_mode="normal";
defparam shift_out_90_3_s_24_.output_mode="comb_only";
defparam shift_out_90_3_s_24_.lut_mask="f000";
defparam shift_out_90_3_s_24_.synch_mode="off";
defparam shift_out_90_3_s_24_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_68_5_ (
        .combout(shift_out_68[5]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_2),
        .datad(b_o_iv_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_68_5_.operation_mode="normal";
defparam shift_out_68_5_.output_mode="comb_only";
defparam shift_out_68_5_.lut_mask="f3c0";
defparam shift_out_68_5_.synch_mode="off";
defparam shift_out_68_5_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_68_25_ (
        .combout(shift_out_68[25]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_23),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_68_25_.operation_mode="normal";
defparam shift_out_68_25_.output_mode="comb_only";
defparam shift_out_68_25_.lut_mask="fc30";
defparam shift_out_68_25_.synch_mode="off";
defparam shift_out_68_25_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_68_26_ (
        .combout(shift_out_68[26]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_23),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_68_26_.operation_mode="normal";
defparam shift_out_68_26_.output_mode="comb_only";
defparam shift_out_68_26_.lut_mask="f3c0";
defparam shift_out_68_26_.synch_mode="off";
defparam shift_out_68_26_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_68_27_ (
        .combout(shift_out_68[27]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_25),
        .datad(b_o_iv_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_68_27_.operation_mode="normal";
defparam shift_out_68_27_.output_mode="comb_only";
defparam shift_out_68_27_.lut_mask="fc30";
defparam shift_out_68_27_.synch_mode="off";
defparam shift_out_68_27_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_68_28_ (
        .combout(shift_out_68[28]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_25),
        .datad(b_o_iv_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_68_28_.operation_mode="normal";
defparam shift_out_68_28_.output_mode="comb_only";
defparam shift_out_68_28_.lut_mask="f3c0";
defparam shift_out_68_28_.synch_mode="off";
defparam shift_out_68_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_68_29_ (
        .combout(shift_out_68[29]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_26),
        .datad(b_o_iv_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_68_29_.operation_mode="normal";
defparam shift_out_68_29_.output_mode="comb_only";
defparam shift_out_68_29_.lut_mask="f3c0";
defparam shift_out_68_29_.synch_mode="off";
defparam shift_out_68_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_68_30_ (
        .combout(shift_out_68[30]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_0_15),
        .datad(b_o_iv_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_68_30_.operation_mode="normal";
defparam shift_out_68_30_.output_mode="comb_only";
defparam shift_out_68_30_.lut_mask="f3c0";
defparam shift_out_68_30_.synch_mode="off";
defparam shift_out_68_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_68_31_ (
        .combout(shift_out_68[31]),
        .dataa(VCC),
        .datab(a_o_0),
        .datac(b_o_iv_29),
        .datad(b_o_iv_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_68_31_.operation_mode="normal";
defparam shift_out_68_31_.output_mode="comb_only";
defparam shift_out_68_31_.lut_mask="fc30";
defparam shift_out_68_31_.synch_mode="off";
defparam shift_out_68_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_71_1_ (
        .combout(shift_out_71[1]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_9),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_71_1_.operation_mode="normal";
defparam shift_out_71_1_.output_mode="comb_only";
defparam shift_out_71_1_.lut_mask="fc30";
defparam shift_out_71_1_.synch_mode="off";
defparam shift_out_71_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_71_2_ (
        .combout(shift_out_71[2]),
        .dataa(VCC),
        .datab(a_o_1),
        .datac(b_o_iv_10),
        .datad(b_o_iv_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_71_2_.operation_mode="normal";
defparam shift_out_71_2_.output_mode="comb_only";
defparam shift_out_71_2_.lut_mask="fc30";
defparam shift_out_71_2_.synch_mode="off";
defparam shift_out_71_2_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_73_1_ (
        .combout(shift_out_73[1]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(b_o_iv_2),
        .datad(b_o_iv_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_73_1_.operation_mode="normal";
defparam shift_out_73_1_.output_mode="comb_only";
defparam shift_out_73_1_.lut_mask="fc30";
defparam shift_out_73_1_.synch_mode="off";
defparam shift_out_73_1_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_73_3_ (
        .combout(shift_out_73[3]),
        .dataa(VCC),
        .datab(a_o_2),
        .datac(b_o_iv_8),
        .datad(b_o_iv_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_73_3_.operation_mode="normal";
defparam shift_out_73_3_.output_mode="comb_only";
defparam shift_out_73_3_.lut_mask="f3c0";
defparam shift_out_73_3_.synch_mode="off";
defparam shift_out_73_3_.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m17_0_a2_cZ (
        .combout(shift_out_sn_m17_0_a2),
        .dataa(VCC),
        .datab(VCC),
        .datac(a_o_4),
        .datad(a_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m17_0_a2_cZ.operation_mode="normal";
defparam shift_out_sn_m17_0_a2_cZ.output_mode="comb_only";
defparam shift_out_sn_m17_0_a2_cZ.lut_mask="000f";
defparam shift_out_sn_m17_0_a2_cZ.synch_mode="off";
defparam shift_out_sn_m17_0_a2_cZ.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_b10_0_cZ (
        .combout(shift_out_sn_b10_0),
        .dataa(VCC),
        .datab(shift_out588),
        .datac(shift_out587),
        .datad(a_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_b10_0_cZ.operation_mode="normal";
defparam shift_out_sn_b10_0_cZ.output_mode="comb_only";
defparam shift_out_sn_b10_0_cZ.lut_mask="03ff";
defparam shift_out_sn_b10_0_cZ.synch_mode="off";
defparam shift_out_sn_b10_0_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_sn_m3_cZ (
        .combout(shift_out_90_sn_m3),
        .dataa(VCC),
        .datab(VCC),
        .datac(shift_out586),
        .datad(a_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_sn_m3_cZ.operation_mode="normal";
defparam shift_out_90_sn_m3_cZ.output_mode="comb_only";
defparam shift_out_90_sn_m3_cZ.lut_mask="00f0";
defparam shift_out_90_sn_m3_cZ.synch_mode="off";
defparam shift_out_90_sn_m3_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_sn_m3_0_cZ (
        .combout(shift_out_90_sn_m3_0),
        .dataa(VCC),
        .datab(VCC),
        .datac(shift_out586),
        .datad(a_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_sn_m3_0_cZ.operation_mode="normal";
defparam shift_out_90_sn_m3_0_cZ.output_mode="comb_only";
defparam shift_out_90_sn_m3_0_cZ.lut_mask="00f0";
defparam shift_out_90_sn_m3_0_cZ.synch_mode="off";
defparam shift_out_90_sn_m3_0_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_90_sn_m1_3_cZ (
        .combout(shift_out_90_sn_m1_3),
        .dataa(alu_func_o_3),
        .datab(m4_0),
        .datac(m4_1),
        .datad(a_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_90_sn_m1_3_cZ.operation_mode="normal";
defparam shift_out_90_sn_m1_3_cZ.output_mode="comb_only";
defparam shift_out_90_sn_m1_3_cZ.lut_mask="4000";
defparam shift_out_90_sn_m1_3_cZ.synch_mode="off";
defparam shift_out_90_sn_m1_3_cZ.sum_lutc_input="datac";
// @5:355
  cyclone_lcell shift_out_sn_m25_0_a5_1_cZ (
        .combout(shift_out_sn_m25_0_a5_1),
        .dataa(VCC),
        .datab(shift_out588),
        .datac(shift_out587),
        .datad(shift_out586),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_sn_m25_0_a5_1_cZ.operation_mode="normal";
defparam shift_out_sn_m25_0_a5_1_cZ.output_mode="comb_only";
defparam shift_out_sn_m25_0_a5_1_cZ.lut_mask="0003";
defparam shift_out_sn_m25_0_a5_1_cZ.synch_mode="off";
defparam shift_out_sn_m25_0_a5_1_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_36_31_ (
        .combout(shift_out_36_0),
        .dataa(alu_func_o_3),
        .datab(m4_0),
        .datac(m4_1),
        .datad(b_o_iv_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_36_31_.operation_mode="normal";
defparam shift_out_36_31_.output_mode="comb_only";
defparam shift_out_36_31_.lut_mask="4000";
defparam shift_out_36_31_.synch_mode="off";
defparam shift_out_36_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out586_cZ (
        .combout(shift_out586),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(alu_func_o_0),
        .datad(shift_out587_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out586_cZ.operation_mode="normal";
defparam shift_out586_cZ.output_mode="comb_only";
defparam shift_out586_cZ.lut_mask="0400";
defparam shift_out586_cZ.synch_mode="off";
defparam shift_out586_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out587_cZ (
        .combout(shift_out587),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(alu_func_o_0),
        .datad(shift_out587_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out587_cZ.operation_mode="normal";
defparam shift_out587_cZ.output_mode="comb_only";
defparam shift_out587_cZ.lut_mask="1000";
defparam shift_out587_cZ.synch_mode="off";
defparam shift_out587_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out588_cZ (
        .combout(shift_out588),
        .dataa(alu_func_o_1),
        .datab(alu_func_o_3),
        .datac(m4_0),
        .datad(alu_func_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out588_cZ.operation_mode="normal";
defparam shift_out588_cZ.output_mode="comb_only";
defparam shift_out588_cZ.lut_mask="0010";
defparam shift_out588_cZ.synch_mode="off";
defparam shift_out588_cZ.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_28_ (
        .combout(shift_out_88[28]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_0_16),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_28_.operation_mode="normal";
defparam shift_out_88_28_.output_mode="comb_only";
defparam shift_out_88_28_.lut_mask="f3c0";
defparam shift_out_88_28_.synch_mode="off";
defparam shift_out_88_28_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_29_ (
        .combout(shift_out_88[29]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_29),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_29_.operation_mode="normal";
defparam shift_out_88_29_.output_mode="comb_only";
defparam shift_out_88_29_.lut_mask="f3c0";
defparam shift_out_88_29_.synch_mode="off";
defparam shift_out_88_29_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_30_ (
        .combout(shift_out_88[30]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_30),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_30_.operation_mode="normal";
defparam shift_out_88_30_.output_mode="comb_only";
defparam shift_out_88_30_.lut_mask="f3c0";
defparam shift_out_88_30_.synch_mode="off";
defparam shift_out_88_30_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out_88_31_ (
        .combout(shift_out_88[31]),
        .dataa(VCC),
        .datab(shift_out_sn_b10_0),
        .datac(b_o_iv_31),
        .datad(shift_out_36_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out_88_31_.operation_mode="normal";
defparam shift_out_88_31_.output_mode="comb_only";
defparam shift_out_88_31_.lut_mask="f3c0";
defparam shift_out_88_31_.synch_mode="off";
defparam shift_out_88_31_.sum_lutc_input="datac";
// @5:279
  cyclone_lcell shift_out587_2_cZ (
        .combout(shift_out587_2),
        .dataa(VCC),
        .datab(VCC),
        .datac(alu_func_o_2),
        .datad(alu_func_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam shift_out587_2_cZ.operation_mode="normal";
defparam shift_out587_2_cZ.output_mode="comb_only";
defparam shift_out587_2_cZ.lut_mask="000f";
defparam shift_out587_2_cZ.synch_mode="off";
defparam shift_out587_2_cZ.sum_lutc_input="datac";
endmodule /* shifter_tak */

// VQM4.1+ 
module big_alu (
  shift_out_92_15,
  shift_out_92_23,
  shift_out_92_24,
  shift_out_92_26,
  shift_out_92_17,
  shift_out_92_12,
  shift_out_92_9,
  shift_out_92_14,
  shift_out_92_18,
  shift_out_92_20,
  shift_out_92_21,
  shift_out_92_25,
  shift_out_92_0,
  shift_out_92_2,
  shift_out_89_4,
  shift_out_89_5,
  shift_out_89_24,
  shift_out_89_25,
  shift_out_89_27,
  shift_out_89_28,
  shift_out_89_2,
  shift_out_89_3,
  shift_out_89_29,
  shift_out_89_30,
  shift_out_89_4_0_6,
  shift_out_89_4_0_10,
  shift_out_89_4_0_11,
  shift_out_89_4_0_12,
  shift_out_89_4_0_13,
  shift_out_89_4_0_0,
  shift_out_89_4_0_1,
  shift_out_89_4_0_3,
  shift_out_89_4_0_4,
  shift_out_89_4_0_5,
  shift_out_89_4_0_7,
  shift_out_89_4_0_8,
  shift_out_89_4_0_9,
  shift_out_89_4_0_2,
  shift_out_89_2_0_0,
  shift_out_89_2_0_1,
  shift_out_89_2_0_2,
  shift_out_89_2_0_3,
  count_0,
  r32_o_30,
  r32_o_29,
  r32_o_28,
  r32_o_26,
  r32_o_25,
  r32_o_24,
  r32_o_23,
  r32_o_22,
  r32_o_21,
  r32_o_20,
  r32_o_19,
  r32_o_18,
  r32_o_17,
  r32_o_16,
  r32_o_14,
  r32_o_13,
  r32_o_12,
  r32_o_11,
  r32_o_10,
  r32_o_9,
  r32_o_8,
  r32_o_7,
  r32_o_6,
  r32_o_5,
  r32_o_4,
  r32_o_2,
  r32_o_1,
  r32_o_0,
  BUS15471_i_m_30,
  BUS15471_i_m_29,
  BUS15471_i_m_28,
  BUS15471_i_m_25,
  BUS15471_i_m_24,
  BUS15471_i_m_23,
  BUS15471_i_m_22,
  BUS15471_i_m_21,
  BUS15471_i_m_20,
  BUS15471_i_m_19,
  BUS15471_i_m_18,
  BUS15471_i_m_17,
  BUS15471_i_m_16,
  BUS15471_i_m_14,
  BUS15471_i_m_13,
  BUS15471_i_m_12,
  BUS15471_i_m_10,
  BUS15471_i_m_9,
  BUS15471_i_m_8,
  BUS15471_i_m_7,
  BUS15471_i_m_6,
  BUS15471_i_m_5,
  BUS15471_i_m_4,
  BUS15471_i_m_2,
  BUS15471_i_m_1,
  BUS15471_i_m_0,
  b_o_iv_a_31,
  b_o_iv_a_30,
  b_o_iv_a_29,
  b_o_iv_a_26,
  b_o_iv_a_25,
  b_o_iv_a_24,
  b_o_iv_a_23,
  b_o_iv_a_22,
  b_o_iv_a_21,
  b_o_iv_a_20,
  b_o_iv_a_19,
  b_o_iv_a_18,
  b_o_iv_a_17,
  b_o_iv_a_16,
  b_o_iv_a_15,
  b_o_iv_a_14,
  b_o_iv_a_13,
  b_o_iv_a_11,
  b_o_iv_a_10,
  b_o_iv_a_9,
  b_o_iv_a_8,
  b_o_iv_a_7,
  b_o_iv_a_6,
  b_o_iv_a_5,
  b_o_iv_a_4,
  b_o_iv_a_3,
  b_o_iv_a_2,
  b_o_iv_a_1,
  b_o_iv_a_0,
  b_o_iv_0_0,
  wb_o_28,
  wb_o_16,
  wb_o_4,
  wb_o_0,
  b_o_iv_0_a_16,
  b_o_iv_0_a_15,
  b_o_iv_0_a_0,
  b_o_iv_0_a5_0_0,
  b_o_iv_0_a5_15,
  b_o_iv_0_a5_0_d0,
  BUS7117_i_m_16,
  BUS7117_i_m_4,
  BUS7117_i_m_0,
  hilo_64,
  a_o_i_0,
  a_o_i_3,
  a_o_i_7,
  c_0_7,
  b_o_iv_31,
  b_o_iv_20,
  b_o_iv_19,
  b_o_iv_18,
  b_o_iv_17,
  b_o_iv_16,
  b_o_iv_15,
  b_o_iv_14,
  b_o_iv_13,
  b_o_iv_10,
  b_o_iv_9,
  b_o_iv_8,
  b_o_iv_7,
  b_o_iv_6,
  b_o_iv_5,
  b_o_iv_4,
  b_o_iv_3,
  b_o_iv_2,
  b_o_iv_1,
  b_o_iv_0_d0,
  b_o_iv_22,
  b_o_iv_11,
  alu_func_o_1,
  alu_func_o_4,
  alu_func_o_0,
  alu_func_o_2,
  alu_func_o_3,
  c_4_0,
  c_3_12,
  c_3_13,
  c_3_0,
  a_o_31,
  a_o_2,
  a_o_10,
  a_o_21,
  a_o_29,
  a_o_5,
  a_o_28,
  a_o_30,
  a_o_1,
  a_o_9,
  a_o_26,
  a_o_17,
  a_o_18,
  a_o_25,
  a_o_4,
  a_o_3,
  a_o_27,
  a_o_7,
  a_o_6,
  a_o_15,
  a_o_13,
  a_o_14,
  a_o_12,
  a_o_8,
  a_o_20,
  a_o_22,
  a_o_11,
  a_o_24,
  a_o_0,
  c_1_19,
  c_1_16,
  c_1_21,
  c_1_15,
  c_1_5,
  c_1_2,
  c_1_20,
  c_1_17,
  c_1_14,
  c_1_29,
  c_1_13,
  c_1_18,
  c_1_28,
  c_1_12,
  c_1_8,
  c_1_30,
  c_1_9,
  c_1_10,
  c_1_26,
  c_1_6,
  c_1_25,
  c_1_4,
  c_1_1,
  c_1_27,
  c_1_3,
  c_1_0,
  c_1_31,
  shift_out_92_2_0_0,
  shift_out_92_2_0_1,
  shift_out_92_2_0_2,
  shift_out_92_2_0_3,
  c_a_13,
  c_a_3,
  c_a_0,
  c_a_6,
  c_a_25,
  c_a_10,
  c_a_11,
  c_a_19,
  c_a_22,
  c_a_1,
  c_a_7,
  c_a_8,
  c_a_16,
  c_a_4,
  c_a_5,
  c_a_30,
  c_0,
  m51,
  m50,
  clk_c,
  b_o_0_sqmuxa,
  b_o_1_sqmuxa,
  rdy,
  rst_c,
  hilo25,
  mul,
  start,
  op2_sign_reged,
  finish,
  I_159_a,
  G_230,
  overflow_2_sqmuxa,
  shift_out_sn_m31_i
);
output shift_out_92_15 ;
output shift_out_92_23 ;
output shift_out_92_24 ;
output shift_out_92_26 ;
output shift_out_92_17 ;
output shift_out_92_12 ;
output shift_out_92_9 ;
output shift_out_92_14 ;
output shift_out_92_18 ;
output shift_out_92_20 ;
output shift_out_92_21 ;
output shift_out_92_25 ;
output shift_out_92_0 ;
output shift_out_92_2 ;
output shift_out_89_4 ;
output shift_out_89_5 ;
output shift_out_89_24 ;
output shift_out_89_25 ;
output shift_out_89_27 ;
output shift_out_89_28 ;
output shift_out_89_2 ;
output shift_out_89_3 ;
output shift_out_89_29 ;
output shift_out_89_30 ;
output shift_out_89_4_0_6 ;
output shift_out_89_4_0_10 ;
output shift_out_89_4_0_11 ;
output shift_out_89_4_0_12 ;
output shift_out_89_4_0_13 ;
output shift_out_89_4_0_0 ;
output shift_out_89_4_0_1 ;
output shift_out_89_4_0_3 ;
output shift_out_89_4_0_4 ;
output shift_out_89_4_0_5 ;
output shift_out_89_4_0_7 ;
output shift_out_89_4_0_8 ;
output shift_out_89_4_0_9 ;
output shift_out_89_4_0_2 ;
output shift_out_89_2_0_0 ;
output shift_out_89_2_0_1 ;
output shift_out_89_2_0_2 ;
output shift_out_89_2_0_3 ;
output count_0 ;
input r32_o_30 ;
input r32_o_29 ;
input r32_o_28 ;
input r32_o_26 ;
input r32_o_25 ;
input r32_o_24 ;
input r32_o_23 ;
input r32_o_22 ;
input r32_o_21 ;
input r32_o_20 ;
input r32_o_19 ;
input r32_o_18 ;
input r32_o_17 ;
input r32_o_16 ;
input r32_o_14 ;
input r32_o_13 ;
input r32_o_12 ;
input r32_o_11 ;
input r32_o_10 ;
input r32_o_9 ;
input r32_o_8 ;
input r32_o_7 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_4 ;
input r32_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
input BUS15471_i_m_30 ;
input BUS15471_i_m_29 ;
input BUS15471_i_m_28 ;
input BUS15471_i_m_25 ;
input BUS15471_i_m_24 ;
input BUS15471_i_m_23 ;
input BUS15471_i_m_22 ;
input BUS15471_i_m_21 ;
input BUS15471_i_m_20 ;
input BUS15471_i_m_19 ;
input BUS15471_i_m_18 ;
input BUS15471_i_m_17 ;
input BUS15471_i_m_16 ;
input BUS15471_i_m_14 ;
input BUS15471_i_m_13 ;
input BUS15471_i_m_12 ;
input BUS15471_i_m_10 ;
input BUS15471_i_m_9 ;
input BUS15471_i_m_8 ;
input BUS15471_i_m_7 ;
input BUS15471_i_m_6 ;
input BUS15471_i_m_5 ;
input BUS15471_i_m_4 ;
input BUS15471_i_m_2 ;
input BUS15471_i_m_1 ;
input BUS15471_i_m_0 ;
input b_o_iv_a_31 ;
input b_o_iv_a_30 ;
input b_o_iv_a_29 ;
input b_o_iv_a_26 ;
input b_o_iv_a_25 ;
input b_o_iv_a_24 ;
input b_o_iv_a_23 ;
input b_o_iv_a_22 ;
input b_o_iv_a_21 ;
input b_o_iv_a_20 ;
input b_o_iv_a_19 ;
input b_o_iv_a_18 ;
input b_o_iv_a_17 ;
input b_o_iv_a_16 ;
input b_o_iv_a_15 ;
input b_o_iv_a_14 ;
input b_o_iv_a_13 ;
input b_o_iv_a_11 ;
input b_o_iv_a_10 ;
input b_o_iv_a_9 ;
input b_o_iv_a_8 ;
input b_o_iv_a_7 ;
input b_o_iv_a_6 ;
input b_o_iv_a_5 ;
input b_o_iv_a_4 ;
input b_o_iv_a_3 ;
input b_o_iv_a_2 ;
input b_o_iv_a_1 ;
input b_o_iv_a_0 ;
input b_o_iv_0_0 ;
input wb_o_28 ;
input wb_o_16 ;
input wb_o_4 ;
input wb_o_0 ;
input b_o_iv_0_a_16 ;
input b_o_iv_0_a_15 ;
input b_o_iv_0_a_0 ;
input b_o_iv_0_a5_0_0 ;
input b_o_iv_0_a5_15 ;
input b_o_iv_0_a5_0_d0 ;
input BUS7117_i_m_16 ;
input BUS7117_i_m_4 ;
input BUS7117_i_m_0 ;
output hilo_64 ;
input a_o_i_0 ;
input a_o_i_3 ;
input a_o_i_7 ;
output c_0_7 ;
input b_o_iv_31 ;
input b_o_iv_20 ;
input b_o_iv_19 ;
input b_o_iv_18 ;
input b_o_iv_17 ;
input b_o_iv_16 ;
input b_o_iv_15 ;
input b_o_iv_14 ;
input b_o_iv_13 ;
input b_o_iv_10 ;
input b_o_iv_9 ;
input b_o_iv_8 ;
input b_o_iv_7 ;
input b_o_iv_6 ;
input b_o_iv_5 ;
input b_o_iv_4 ;
input b_o_iv_3 ;
input b_o_iv_2 ;
input b_o_iv_1 ;
input b_o_iv_0_d0 ;
input b_o_iv_22 ;
input b_o_iv_11 ;
input alu_func_o_1 ;
input alu_func_o_4 ;
input alu_func_o_0 ;
input alu_func_o_2 ;
input alu_func_o_3 ;
output c_4_0 ;
output c_3_12 ;
output c_3_13 ;
output c_3_0 ;
input a_o_31 ;
input a_o_2 ;
input a_o_10 ;
input a_o_21 ;
input a_o_29 ;
input a_o_5 ;
input a_o_28 ;
input a_o_30 ;
input a_o_1 ;
input a_o_9 ;
input a_o_26 ;
input a_o_17 ;
input a_o_18 ;
input a_o_25 ;
input a_o_4 ;
input a_o_3 ;
input a_o_27 ;
input a_o_7 ;
input a_o_6 ;
input a_o_15 ;
input a_o_13 ;
input a_o_14 ;
input a_o_12 ;
input a_o_8 ;
input a_o_20 ;
input a_o_22 ;
input a_o_11 ;
input a_o_24 ;
input a_o_0 ;
output c_1_19 ;
output c_1_16 ;
output c_1_21 ;
output c_1_15 ;
output c_1_5 ;
output c_1_2 ;
output c_1_20 ;
output c_1_17 ;
output c_1_14 ;
output c_1_29 ;
output c_1_13 ;
output c_1_18 ;
output c_1_28 ;
output c_1_12 ;
output c_1_8 ;
output c_1_30 ;
output c_1_9 ;
output c_1_10 ;
output c_1_26 ;
output c_1_6 ;
output c_1_25 ;
output c_1_4 ;
output c_1_1 ;
output c_1_27 ;
output c_1_3 ;
output c_1_0 ;
output c_1_31 ;
output shift_out_92_2_0_0 ;
output shift_out_92_2_0_1 ;
output shift_out_92_2_0_2 ;
output shift_out_92_2_0_3 ;
output c_a_13 ;
output c_a_3 ;
output c_a_0 ;
output c_a_6 ;
output c_a_25 ;
output c_a_10 ;
output c_a_11 ;
output c_a_19 ;
output c_a_22 ;
output c_a_1 ;
output c_a_7 ;
output c_a_8 ;
output c_a_16 ;
output c_a_4 ;
output c_a_5 ;
output c_a_30 ;
output c_0 ;
output m51 ;
output m50 ;
input clk_c ;
input b_o_0_sqmuxa ;
input b_o_1_sqmuxa ;
output rdy ;
input rst_c ;
output hilo25 ;
output mul ;
output start ;
output op2_sign_reged ;
output finish ;
input I_159_a ;
input G_230 ;
output overflow_2_sqmuxa ;
output shift_out_sn_m31_i ;
wire shift_out_92_15 ;
wire shift_out_92_23 ;
wire shift_out_92_24 ;
wire shift_out_92_26 ;
wire shift_out_92_17 ;
wire shift_out_92_12 ;
wire shift_out_92_9 ;
wire shift_out_92_14 ;
wire shift_out_92_18 ;
wire shift_out_92_20 ;
wire shift_out_92_21 ;
wire shift_out_92_25 ;
wire shift_out_92_0 ;
wire shift_out_92_2 ;
wire shift_out_89_4 ;
wire shift_out_89_5 ;
wire shift_out_89_24 ;
wire shift_out_89_25 ;
wire shift_out_89_27 ;
wire shift_out_89_28 ;
wire shift_out_89_2 ;
wire shift_out_89_3 ;
wire shift_out_89_29 ;
wire shift_out_89_30 ;
wire shift_out_89_4_0_6 ;
wire shift_out_89_4_0_10 ;
wire shift_out_89_4_0_11 ;
wire shift_out_89_4_0_12 ;
wire shift_out_89_4_0_13 ;
wire shift_out_89_4_0_0 ;
wire shift_out_89_4_0_1 ;
wire shift_out_89_4_0_3 ;
wire shift_out_89_4_0_4 ;
wire shift_out_89_4_0_5 ;
wire shift_out_89_4_0_7 ;
wire shift_out_89_4_0_8 ;
wire shift_out_89_4_0_9 ;
wire shift_out_89_4_0_2 ;
wire shift_out_89_2_0_0 ;
wire shift_out_89_2_0_1 ;
wire shift_out_89_2_0_2 ;
wire shift_out_89_2_0_3 ;
wire count_0 ;
wire r32_o_30 ;
wire r32_o_29 ;
wire r32_o_28 ;
wire r32_o_26 ;
wire r32_o_25 ;
wire r32_o_24 ;
wire r32_o_23 ;
wire r32_o_22 ;
wire r32_o_21 ;
wire r32_o_20 ;
wire r32_o_19 ;
wire r32_o_18 ;
wire r32_o_17 ;
wire r32_o_16 ;
wire r32_o_14 ;
wire r32_o_13 ;
wire r32_o_12 ;
wire r32_o_11 ;
wire r32_o_10 ;
wire r32_o_9 ;
wire r32_o_8 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_4 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire BUS15471_i_m_30 ;
wire BUS15471_i_m_29 ;
wire BUS15471_i_m_28 ;
wire BUS15471_i_m_25 ;
wire BUS15471_i_m_24 ;
wire BUS15471_i_m_23 ;
wire BUS15471_i_m_22 ;
wire BUS15471_i_m_21 ;
wire BUS15471_i_m_20 ;
wire BUS15471_i_m_19 ;
wire BUS15471_i_m_18 ;
wire BUS15471_i_m_17 ;
wire BUS15471_i_m_16 ;
wire BUS15471_i_m_14 ;
wire BUS15471_i_m_13 ;
wire BUS15471_i_m_12 ;
wire BUS15471_i_m_10 ;
wire BUS15471_i_m_9 ;
wire BUS15471_i_m_8 ;
wire BUS15471_i_m_7 ;
wire BUS15471_i_m_6 ;
wire BUS15471_i_m_5 ;
wire BUS15471_i_m_4 ;
wire BUS15471_i_m_2 ;
wire BUS15471_i_m_1 ;
wire BUS15471_i_m_0 ;
wire b_o_iv_a_31 ;
wire b_o_iv_a_30 ;
wire b_o_iv_a_29 ;
wire b_o_iv_a_26 ;
wire b_o_iv_a_25 ;
wire b_o_iv_a_24 ;
wire b_o_iv_a_23 ;
wire b_o_iv_a_22 ;
wire b_o_iv_a_21 ;
wire b_o_iv_a_20 ;
wire b_o_iv_a_19 ;
wire b_o_iv_a_18 ;
wire b_o_iv_a_17 ;
wire b_o_iv_a_16 ;
wire b_o_iv_a_15 ;
wire b_o_iv_a_14 ;
wire b_o_iv_a_13 ;
wire b_o_iv_a_11 ;
wire b_o_iv_a_10 ;
wire b_o_iv_a_9 ;
wire b_o_iv_a_8 ;
wire b_o_iv_a_7 ;
wire b_o_iv_a_6 ;
wire b_o_iv_a_5 ;
wire b_o_iv_a_4 ;
wire b_o_iv_a_3 ;
wire b_o_iv_a_2 ;
wire b_o_iv_a_1 ;
wire b_o_iv_a_0 ;
wire b_o_iv_0_0 ;
wire wb_o_28 ;
wire wb_o_16 ;
wire wb_o_4 ;
wire wb_o_0 ;
wire b_o_iv_0_a_16 ;
wire b_o_iv_0_a_15 ;
wire b_o_iv_0_a_0 ;
wire b_o_iv_0_a5_0_0 ;
wire b_o_iv_0_a5_15 ;
wire b_o_iv_0_a5_0_d0 ;
wire BUS7117_i_m_16 ;
wire BUS7117_i_m_4 ;
wire BUS7117_i_m_0 ;
wire hilo_64 ;
wire a_o_i_0 ;
wire a_o_i_3 ;
wire a_o_i_7 ;
wire c_0_7 ;
wire b_o_iv_31 ;
wire b_o_iv_20 ;
wire b_o_iv_19 ;
wire b_o_iv_18 ;
wire b_o_iv_17 ;
wire b_o_iv_16 ;
wire b_o_iv_15 ;
wire b_o_iv_14 ;
wire b_o_iv_13 ;
wire b_o_iv_10 ;
wire b_o_iv_9 ;
wire b_o_iv_8 ;
wire b_o_iv_7 ;
wire b_o_iv_6 ;
wire b_o_iv_5 ;
wire b_o_iv_4 ;
wire b_o_iv_3 ;
wire b_o_iv_2 ;
wire b_o_iv_1 ;
wire b_o_iv_0_d0 ;
wire b_o_iv_22 ;
wire b_o_iv_11 ;
wire alu_func_o_1 ;
wire alu_func_o_4 ;
wire alu_func_o_0 ;
wire alu_func_o_2 ;
wire alu_func_o_3 ;
wire c_4_0 ;
wire c_3_12 ;
wire c_3_13 ;
wire c_3_0 ;
wire a_o_31 ;
wire a_o_2 ;
wire a_o_10 ;
wire a_o_21 ;
wire a_o_29 ;
wire a_o_5 ;
wire a_o_28 ;
wire a_o_30 ;
wire a_o_1 ;
wire a_o_9 ;
wire a_o_26 ;
wire a_o_17 ;
wire a_o_18 ;
wire a_o_25 ;
wire a_o_4 ;
wire a_o_3 ;
wire a_o_27 ;
wire a_o_7 ;
wire a_o_6 ;
wire a_o_15 ;
wire a_o_13 ;
wire a_o_14 ;
wire a_o_12 ;
wire a_o_8 ;
wire a_o_20 ;
wire a_o_22 ;
wire a_o_11 ;
wire a_o_24 ;
wire a_o_0 ;
wire c_1_19 ;
wire c_1_16 ;
wire c_1_21 ;
wire c_1_15 ;
wire c_1_5 ;
wire c_1_2 ;
wire c_1_20 ;
wire c_1_17 ;
wire c_1_14 ;
wire c_1_29 ;
wire c_1_13 ;
wire c_1_18 ;
wire c_1_28 ;
wire c_1_12 ;
wire c_1_8 ;
wire c_1_30 ;
wire c_1_9 ;
wire c_1_10 ;
wire c_1_26 ;
wire c_1_6 ;
wire c_1_25 ;
wire c_1_4 ;
wire c_1_1 ;
wire c_1_27 ;
wire c_1_3 ;
wire c_1_0 ;
wire c_1_31 ;
wire shift_out_92_2_0_0 ;
wire shift_out_92_2_0_1 ;
wire shift_out_92_2_0_2 ;
wire shift_out_92_2_0_3 ;
wire c_a_13 ;
wire c_a_3 ;
wire c_a_0 ;
wire c_a_6 ;
wire c_a_25 ;
wire c_a_10 ;
wire c_a_11 ;
wire c_a_19 ;
wire c_a_22 ;
wire c_a_1 ;
wire c_a_7 ;
wire c_a_8 ;
wire c_a_16 ;
wire c_a_4 ;
wire c_a_5 ;
wire c_a_30 ;
wire c_0 ;
wire m51 ;
wire m50 ;
wire clk_c ;
wire b_o_0_sqmuxa ;
wire b_o_1_sqmuxa ;
wire rdy ;
wire rst_c ;
wire hilo25 ;
wire mul ;
wire start ;
wire op2_sign_reged ;
wire finish ;
wire I_159_a ;
wire G_230 ;
wire overflow_2_sqmuxa ;
wire shift_out_sn_m31_i ;
wire [31:1] shift_out_89_1;
wire [31:31] shift_out_36;
wire [23:0] c_0_Z;
wire [0:0] shift_out_89;
wire [31:0] c_1_a;
wire [0:0] shift_out_86_u;
wire [11:0] shift_out_91;
wire [7:2] shift_out_86;
wire [17:14] shift_out_92_d;
wire [17:17] shift_out_90_2;
wire [23:9] shift_out_90_u;
wire [12:8] shift_out_86_2_0;
wire [12:8] shift_out_92_2_0_d;
wire [23:20] shift_out_88;
wire [26:26] shift_out_85;
wire [26:26] shift_out_87;
wire [1:1] shift_out_89_0;
wire [14:14] shift_out_90_1_0;
wire [23:11] c_2;
wire [23:11] alu_out_6_0;
wire [24:24] alu_out_0_a2_0_0;
wire [24:22] c_1;
wire [24:24] alu_out_0_a2_1;
wire [22:22] c_3;
wire [23:11] c_2_a;
wire [22:22] c_3_a;
wire [63:0] hilo;
wire [7:7] c_0_a;
wire [30:21] b_o_iv;
wire [28:27] b_o_iv_0;
wire shift_out586 ;
wire shift_out_sn_m25_0 ;
wire shift_out_sn_m17_0 ;
wire m226 ;
wire m41 ;
wire m184 ;
wire m183 ;
wire un24_res ;
wire un11_res ;
wire m63 ;
wire m62 ;
wire m160 ;
wire m159 ;
wire m191 ;
wire m190 ;
wire m70 ;
wire m69 ;
wire m148 ;
wire m147 ;
wire m82 ;
wire m81 ;
wire m88 ;
wire m87 ;
wire m154 ;
wire m153 ;
wire m100 ;
wire m99 ;
wire m203 ;
wire m202 ;
wire m178 ;
wire m177 ;
wire m94 ;
wire m93 ;
wire m209 ;
wire m208 ;
wire m166 ;
wire m165 ;
wire m130 ;
wire m129 ;
wire m215 ;
wire m214 ;
wire m172 ;
wire m171 ;
wire m106 ;
wire m105 ;
wire m124 ;
wire m123 ;
wire m142 ;
wire m141 ;
wire m197 ;
wire m196 ;
wire m76 ;
wire m75 ;
wire m112 ;
wire m111 ;
wire m221 ;
wire m220 ;
wire m229 ;
wire m118 ;
wire m117 ;
wire m136 ;
wire m135 ;
wire m4_0 ;
wire m4_1 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @5:153
  cyclone_lcell c_31_ (
        .combout(c_0),
        .dataa(shift_out_sn_m31_i),
        .datab(c_a_30),
        .datac(shift_out_92_2_0_3),
        .datad(c_1_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_31_.operation_mode="normal";
defparam c_31_.output_mode="comb_only";
defparam c_31_.lut_mask="ffb1";
defparam c_31_.synch_mode="off";
defparam c_31_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_31_ (
        .combout(c_a_30),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_89_1[31]),
        .datad(shift_out_36[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_31_.operation_mode="normal";
defparam c_a_31_.output_mode="comb_only";
defparam c_a_31_.lut_mask="0c3f";
defparam c_a_31_.synch_mode="off";
defparam c_a_31_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_0_ (
        .combout(c_1_0),
        .dataa(c_0_Z[0]),
        .datab(shift_out_89[0]),
        .datac(shift_out_sn_m31_i),
        .datad(c_1_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_0_.operation_mode="normal";
defparam c_1_0_.output_mode="comb_only";
defparam c_1_0_.lut_mask="aefe";
defparam c_1_0_.synch_mode="off";
defparam c_1_0_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_0_ (
        .combout(c_1_a[0]),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_86_u[0]),
        .datad(shift_out_91[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_0_.operation_mode="normal";
defparam c_1_a_0_.output_mode="comb_only";
defparam c_1_a_0_.lut_mask="23ef";
defparam c_1_a_0_.synch_mode="off";
defparam c_1_a_0_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_6_ (
        .combout(c_a_5),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_91[6]),
        .datad(shift_out_86[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_6_.operation_mode="normal";
defparam c_a_6_.output_mode="comb_only";
defparam c_a_6_.lut_mask="2e3f";
defparam c_a_6_.synch_mode="off";
defparam c_a_6_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_5_ (
        .combout(c_a_4),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_91[5]),
        .datad(shift_out_86[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_5_.operation_mode="normal";
defparam c_a_5_.output_mode="comb_only";
defparam c_a_5_.lut_mask="2e3f";
defparam c_a_5_.synch_mode="off";
defparam c_a_5_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_17_ (
        .combout(c_a_16),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_92_d[17]),
        .datad(shift_out_90_2[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_17_.operation_mode="normal";
defparam c_a_17_.output_mode="comb_only";
defparam c_a_17_.lut_mask="0e1f";
defparam c_a_17_.synch_mode="off";
defparam c_a_17_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_9_ (
        .combout(c_a_8),
        .dataa(VCC),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_91[9]),
        .datad(shift_out_90_u[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_9_.operation_mode="normal";
defparam c_a_9_.output_mode="comb_only";
defparam c_a_9_.lut_mask="0c3f";
defparam c_a_9_.synch_mode="off";
defparam c_a_9_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_8_ (
        .combout(c_a_7),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_86_2_0[8]),
        .datad(shift_out_92_2_0_d[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_8_.operation_mode="normal";
defparam c_a_8_.output_mode="comb_only";
defparam c_a_8_.lut_mask="01ef";
defparam c_a_8_.synch_mode="off";
defparam c_a_8_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_2_ (
        .combout(c_a_1),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_91[2]),
        .datad(shift_out_86[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_2_.operation_mode="normal";
defparam c_a_2_.output_mode="comb_only";
defparam c_a_2_.lut_mask="2e3f";
defparam c_a_2_.synch_mode="off";
defparam c_a_2_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_23_ (
        .combout(c_a_22),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_88[23]),
        .datad(shift_out_90_u[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_23_.operation_mode="normal";
defparam c_a_23_.output_mode="comb_only";
defparam c_a_23_.lut_mask="4c7f";
defparam c_a_23_.synch_mode="off";
defparam c_a_23_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_20_ (
        .combout(c_a_19),
        .dataa(shift_out_sn_m17_0),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_88[20]),
        .datad(shift_out_90_u[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_20_.operation_mode="normal";
defparam c_a_20_.output_mode="comb_only";
defparam c_a_20_.lut_mask="4c7f";
defparam c_a_20_.synch_mode="off";
defparam c_a_20_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_12_ (
        .combout(c_a_11),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_92_2_0_d[12]),
        .datad(shift_out_86_2_0[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_12_.operation_mode="normal";
defparam c_a_12_.output_mode="comb_only";
defparam c_a_12_.lut_mask="0e1f";
defparam c_a_12_.synch_mode="off";
defparam c_a_12_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_11_ (
        .combout(c_a_10),
        .dataa(VCC),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_91[11]),
        .datad(shift_out_90_u[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_11_.operation_mode="normal";
defparam c_a_11_.output_mode="comb_only";
defparam c_a_11_.lut_mask="0c3f";
defparam c_a_11_.synch_mode="off";
defparam c_a_11_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_26_ (
        .combout(c_a_25),
        .dataa(VCC),
        .datab(shift_out586),
        .datac(shift_out_85[26]),
        .datad(shift_out_87[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_26_.operation_mode="normal";
defparam c_a_26_.output_mode="comb_only";
defparam c_a_26_.lut_mask="0c3f";
defparam c_a_26_.synch_mode="off";
defparam c_a_26_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_7_ (
        .combout(c_a_6),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_91[7]),
        .datad(shift_out_86[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_7_.operation_mode="normal";
defparam c_a_7_.output_mode="comb_only";
defparam c_a_7_.lut_mask="2e3f";
defparam c_a_7_.synch_mode="off";
defparam c_a_7_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_1_ (
        .combout(c_a_0),
        .dataa(shift_out586),
        .datab(a_o_0),
        .datac(shift_out_89_0[1]),
        .datad(shift_out_89_1[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_1_.operation_mode="normal";
defparam c_a_1_.output_mode="comb_only";
defparam c_a_1_.lut_mask="01ef";
defparam c_a_1_.synch_mode="off";
defparam c_a_1_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_4_ (
        .combout(c_a_3),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_91[4]),
        .datad(shift_out_86[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_4_.operation_mode="normal";
defparam c_a_4_.output_mode="comb_only";
defparam c_a_4_.lut_mask="2e3f";
defparam c_a_4_.synch_mode="off";
defparam c_a_4_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_a_14_ (
        .combout(c_a_13),
        .dataa(shift_out586),
        .datab(shift_out_sn_m25_0),
        .datac(shift_out_90_1_0[14]),
        .datad(shift_out_92_d[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_a_14_.operation_mode="normal";
defparam c_a_14_.output_mode="comb_only";
defparam c_a_14_.lut_mask="01ef";
defparam c_a_14_.synch_mode="off";
defparam c_a_14_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_3_11_ (
        .combout(c_3_0),
        .dataa(VCC),
        .datab(m226),
        .datac(c_2[11]),
        .datad(alu_out_6_0[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_3_11_.operation_mode="normal";
defparam c_3_11_.output_mode="comb_only";
defparam c_3_11_.lut_mask="fcf0";
defparam c_3_11_.synch_mode="off";
defparam c_3_11_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_3_24_ (
        .combout(c_3_13),
        .dataa(a_o_24),
        .datab(alu_out_0_a2_0_0[24]),
        .datac(c_1[24]),
        .datad(alu_out_0_a2_1[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_3_24_.operation_mode="normal";
defparam c_3_24_.output_mode="comb_only";
defparam c_3_24_.lut_mask="fff8";
defparam c_3_24_.synch_mode="off";
defparam c_3_24_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_4_22_ (
        .combout(c_4_0),
        .dataa(VCC),
        .datab(m226),
        .datac(c_3[22]),
        .datad(alu_out_6_0[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_4_22_.operation_mode="normal";
defparam c_4_22_.output_mode="comb_only";
defparam c_4_22_.lut_mask="fcf0";
defparam c_4_22_.synch_mode="off";
defparam c_4_22_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_2_11_ (
        .combout(c_2[11]),
        .dataa(alu_func_o_3),
        .datab(m41),
        .datac(c_0_Z[11]),
        .datad(c_2_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_2_11_.operation_mode="normal";
defparam c_2_11_.output_mode="comb_only";
defparam c_2_11_.lut_mask="f0f4";
defparam c_2_11_.synch_mode="off";
defparam c_2_11_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_2_a_11_ (
        .combout(c_2_a[11]),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_0),
        .datac(a_o_11),
        .datad(b_o_iv_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_2_a_11_.operation_mode="normal";
defparam c_2_a_11_.output_mode="comb_only";
defparam c_2_a_11_.lut_mask="06cf";
defparam c_2_a_11_.synch_mode="off";
defparam c_2_a_11_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_3_23_ (
        .combout(c_3_12),
        .dataa(VCC),
        .datab(m226),
        .datac(c_2[23]),
        .datad(alu_out_6_0[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_3_23_.operation_mode="normal";
defparam c_3_23_.output_mode="comb_only";
defparam c_3_23_.lut_mask="fcf0";
defparam c_3_23_.synch_mode="off";
defparam c_3_23_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_3_22_ (
        .combout(c_3[22]),
        .dataa(alu_func_o_0),
        .datab(c_3_a[22]),
        .datac(b_o_iv_22),
        .datad(c_1[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_3_22_.operation_mode="normal";
defparam c_3_22_.output_mode="comb_only";
defparam c_3_22_.lut_mask="ffc4";
defparam c_3_22_.synch_mode="off";
defparam c_3_22_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_3_a_22_ (
        .combout(c_3_a[22]),
        .dataa(alu_func_o_4),
        .datab(alu_func_o_1),
        .datac(alu_func_o_3),
        .datad(a_o_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_3_a_22_.operation_mode="normal";
defparam c_3_a_22_.output_mode="comb_only";
defparam c_3_a_22_.lut_mask="0800";
defparam c_3_a_22_.synch_mode="off";
defparam c_3_a_22_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_31_ (
        .combout(c_1_31),
        .dataa(alu_func_o_3),
        .datab(c_1_a[31]),
        .datac(m184),
        .datad(m183),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_31_.operation_mode="normal";
defparam c_1_31_.output_mode="comb_only";
defparam c_1_31_.lut_mask="fb73";
defparam c_1_31_.synch_mode="off";
defparam c_1_31_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_31_ (
        .combout(c_1_a[31]),
        .dataa(hilo[31]),
        .datab(hilo[63]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_31_.operation_mode="normal";
defparam c_1_a_31_.output_mode="comb_only";
defparam c_1_a_31_.lut_mask="153f";
defparam c_1_a_31_.synch_mode="off";
defparam c_1_a_31_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_3_ (
        .combout(c_1_3),
        .dataa(alu_func_o_3),
        .datab(c_1_a[3]),
        .datac(m63),
        .datad(m62),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_3_.operation_mode="normal";
defparam c_1_3_.output_mode="comb_only";
defparam c_1_3_.lut_mask="fb73";
defparam c_1_3_.synch_mode="off";
defparam c_1_3_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_3_ (
        .combout(c_1_a[3]),
        .dataa(hilo[3]),
        .datab(hilo[35]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_3_.operation_mode="normal";
defparam c_1_a_3_.output_mode="comb_only";
defparam c_1_a_3_.lut_mask="153f";
defparam c_1_a_3_.synch_mode="off";
defparam c_1_a_3_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_27_ (
        .combout(c_1_27),
        .dataa(alu_func_o_3),
        .datab(c_1_a[27]),
        .datac(m160),
        .datad(m159),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_27_.operation_mode="normal";
defparam c_1_27_.output_mode="comb_only";
defparam c_1_27_.lut_mask="fb73";
defparam c_1_27_.synch_mode="off";
defparam c_1_27_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_27_ (
        .combout(c_1_a[27]),
        .dataa(hilo[27]),
        .datab(hilo[59]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_27_.operation_mode="normal";
defparam c_1_a_27_.output_mode="comb_only";
defparam c_1_a_27_.lut_mask="153f";
defparam c_1_a_27_.synch_mode="off";
defparam c_1_a_27_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_1_ (
        .combout(c_1_1),
        .dataa(alu_func_o_3),
        .datab(c_1_a[1]),
        .datac(m191),
        .datad(m190),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_1_.operation_mode="normal";
defparam c_1_1_.output_mode="comb_only";
defparam c_1_1_.lut_mask="fb73";
defparam c_1_1_.synch_mode="off";
defparam c_1_1_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_1_ (
        .combout(c_1_a[1]),
        .dataa(hilo[1]),
        .datab(hilo[33]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_1_.operation_mode="normal";
defparam c_1_a_1_.output_mode="comb_only";
defparam c_1_a_1_.lut_mask="153f";
defparam c_1_a_1_.synch_mode="off";
defparam c_1_a_1_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_4_ (
        .combout(c_1_4),
        .dataa(alu_func_o_3),
        .datab(c_1_a[4]),
        .datac(m70),
        .datad(m69),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_4_.operation_mode="normal";
defparam c_1_4_.output_mode="comb_only";
defparam c_1_4_.lut_mask="fb73";
defparam c_1_4_.synch_mode="off";
defparam c_1_4_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_4_ (
        .combout(c_1_a[4]),
        .dataa(hilo[4]),
        .datab(hilo[36]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_4_.operation_mode="normal";
defparam c_1_a_4_.output_mode="comb_only";
defparam c_1_a_4_.lut_mask="153f";
defparam c_1_a_4_.synch_mode="off";
defparam c_1_a_4_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_25_ (
        .combout(c_1_25),
        .dataa(alu_func_o_3),
        .datab(c_1_a[25]),
        .datac(m148),
        .datad(m147),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_25_.operation_mode="normal";
defparam c_1_25_.output_mode="comb_only";
defparam c_1_25_.lut_mask="fb73";
defparam c_1_25_.synch_mode="off";
defparam c_1_25_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_25_ (
        .combout(c_1_a[25]),
        .dataa(hilo[25]),
        .datab(hilo[57]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_25_.operation_mode="normal";
defparam c_1_a_25_.output_mode="comb_only";
defparam c_1_a_25_.lut_mask="153f";
defparam c_1_a_25_.synch_mode="off";
defparam c_1_a_25_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_6_ (
        .combout(c_1_6),
        .dataa(alu_func_o_3),
        .datab(c_1_a[6]),
        .datac(m82),
        .datad(m81),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_6_.operation_mode="normal";
defparam c_1_6_.output_mode="comb_only";
defparam c_1_6_.lut_mask="fb73";
defparam c_1_6_.synch_mode="off";
defparam c_1_6_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_6_ (
        .combout(c_1_a[6]),
        .dataa(hilo[6]),
        .datab(hilo[38]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_6_.operation_mode="normal";
defparam c_1_a_6_.output_mode="comb_only";
defparam c_1_a_6_.lut_mask="153f";
defparam c_1_a_6_.synch_mode="off";
defparam c_1_a_6_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_0_7_ (
        .combout(c_0_7),
        .dataa(alu_func_o_3),
        .datab(c_0_a[7]),
        .datac(m88),
        .datad(m87),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_0_7_.operation_mode="normal";
defparam c_0_7_.output_mode="comb_only";
defparam c_0_7_.lut_mask="fb73";
defparam c_0_7_.synch_mode="off";
defparam c_0_7_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_0_a_7_ (
        .combout(c_0_a[7]),
        .dataa(hilo[7]),
        .datab(hilo[39]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_0_a_7_.operation_mode="normal";
defparam c_0_a_7_.output_mode="comb_only";
defparam c_0_a_7_.lut_mask="353f";
defparam c_0_a_7_.synch_mode="off";
defparam c_0_a_7_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_2_23_ (
        .combout(c_2[23]),
        .dataa(alu_func_o_3),
        .datab(m41),
        .datac(c_0_Z[23]),
        .datad(c_2_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_2_23_.operation_mode="normal";
defparam c_2_23_.output_mode="comb_only";
defparam c_2_23_.lut_mask="f0f4";
defparam c_2_23_.synch_mode="off";
defparam c_2_23_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_2_a_23_ (
        .combout(c_2_a[23]),
        .dataa(alu_func_o_2),
        .datab(alu_func_o_0),
        .datac(a_o_i_7),
        .datad(b_o_iv[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_2_a_23_.operation_mode="normal";
defparam c_2_a_23_.output_mode="comb_only";
defparam c_2_a_23_.lut_mask="60fc";
defparam c_2_a_23_.synch_mode="off";
defparam c_2_a_23_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_26_ (
        .combout(c_1_26),
        .dataa(alu_func_o_3),
        .datab(c_1_a[26]),
        .datac(m154),
        .datad(m153),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_26_.operation_mode="normal";
defparam c_1_26_.output_mode="comb_only";
defparam c_1_26_.lut_mask="fb73";
defparam c_1_26_.synch_mode="off";
defparam c_1_26_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_26_ (
        .combout(c_1_a[26]),
        .dataa(hilo[26]),
        .datab(hilo[58]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_26_.operation_mode="normal";
defparam c_1_a_26_.output_mode="comb_only";
defparam c_1_a_26_.lut_mask="153f";
defparam c_1_a_26_.synch_mode="off";
defparam c_1_a_26_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_10_ (
        .combout(c_1_10),
        .dataa(alu_func_o_3),
        .datab(c_1_a[10]),
        .datac(m100),
        .datad(m99),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_10_.operation_mode="normal";
defparam c_1_10_.output_mode="comb_only";
defparam c_1_10_.lut_mask="fb73";
defparam c_1_10_.synch_mode="off";
defparam c_1_10_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_10_ (
        .combout(c_1_a[10]),
        .dataa(hilo[10]),
        .datab(hilo[42]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_10_.operation_mode="normal";
defparam c_1_a_10_.output_mode="comb_only";
defparam c_1_a_10_.lut_mask="153f";
defparam c_1_a_10_.synch_mode="off";
defparam c_1_a_10_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_9_ (
        .combout(c_1_9),
        .dataa(alu_func_o_3),
        .datab(c_1_a[9]),
        .datac(m203),
        .datad(m202),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_9_.operation_mode="normal";
defparam c_1_9_.output_mode="comb_only";
defparam c_1_9_.lut_mask="fb73";
defparam c_1_9_.synch_mode="off";
defparam c_1_9_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_9_ (
        .combout(c_1_a[9]),
        .dataa(hilo[9]),
        .datab(hilo[41]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_9_.operation_mode="normal";
defparam c_1_a_9_.output_mode="comb_only";
defparam c_1_a_9_.lut_mask="153f";
defparam c_1_a_9_.synch_mode="off";
defparam c_1_a_9_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_30_ (
        .combout(c_1_30),
        .dataa(alu_func_o_3),
        .datab(c_1_a[30]),
        .datac(m178),
        .datad(m177),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_30_.operation_mode="normal";
defparam c_1_30_.output_mode="comb_only";
defparam c_1_30_.lut_mask="fb73";
defparam c_1_30_.synch_mode="off";
defparam c_1_30_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_30_ (
        .combout(c_1_a[30]),
        .dataa(hilo[30]),
        .datab(hilo[62]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_30_.operation_mode="normal";
defparam c_1_a_30_.output_mode="comb_only";
defparam c_1_a_30_.lut_mask="153f";
defparam c_1_a_30_.synch_mode="off";
defparam c_1_a_30_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_8_ (
        .combout(c_1_8),
        .dataa(alu_func_o_3),
        .datab(c_1_a[8]),
        .datac(m94),
        .datad(m93),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_8_.operation_mode="normal";
defparam c_1_8_.output_mode="comb_only";
defparam c_1_8_.lut_mask="fb73";
defparam c_1_8_.synch_mode="off";
defparam c_1_8_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_8_ (
        .combout(c_1_a[8]),
        .dataa(hilo[8]),
        .datab(hilo[40]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_8_.operation_mode="normal";
defparam c_1_a_8_.output_mode="comb_only";
defparam c_1_a_8_.lut_mask="153f";
defparam c_1_a_8_.synch_mode="off";
defparam c_1_a_8_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_12_ (
        .combout(c_1_12),
        .dataa(alu_func_o_3),
        .datab(c_1_a[12]),
        .datac(m209),
        .datad(m208),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_12_.operation_mode="normal";
defparam c_1_12_.output_mode="comb_only";
defparam c_1_12_.lut_mask="fb73";
defparam c_1_12_.synch_mode="off";
defparam c_1_12_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_12_ (
        .combout(c_1_a[12]),
        .dataa(hilo[12]),
        .datab(hilo[44]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_12_.operation_mode="normal";
defparam c_1_a_12_.output_mode="comb_only";
defparam c_1_a_12_.lut_mask="153f";
defparam c_1_a_12_.synch_mode="off";
defparam c_1_a_12_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_28_ (
        .combout(c_1_28),
        .dataa(alu_func_o_3),
        .datab(c_1_a[28]),
        .datac(m166),
        .datad(m165),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_28_.operation_mode="normal";
defparam c_1_28_.output_mode="comb_only";
defparam c_1_28_.lut_mask="fb73";
defparam c_1_28_.synch_mode="off";
defparam c_1_28_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_28_ (
        .combout(c_1_a[28]),
        .dataa(hilo[28]),
        .datab(hilo[60]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_28_.operation_mode="normal";
defparam c_1_a_28_.output_mode="comb_only";
defparam c_1_a_28_.lut_mask="153f";
defparam c_1_a_28_.synch_mode="off";
defparam c_1_a_28_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_18_ (
        .combout(c_1_18),
        .dataa(alu_func_o_3),
        .datab(c_1_a[18]),
        .datac(m130),
        .datad(m129),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_18_.operation_mode="normal";
defparam c_1_18_.output_mode="comb_only";
defparam c_1_18_.lut_mask="fb73";
defparam c_1_18_.synch_mode="off";
defparam c_1_18_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_18_ (
        .combout(c_1_a[18]),
        .dataa(hilo[18]),
        .datab(hilo[50]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_18_.operation_mode="normal";
defparam c_1_a_18_.output_mode="comb_only";
defparam c_1_a_18_.lut_mask="153f";
defparam c_1_a_18_.synch_mode="off";
defparam c_1_a_18_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_13_ (
        .combout(c_1_13),
        .dataa(alu_func_o_3),
        .datab(c_1_a[13]),
        .datac(m215),
        .datad(m214),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_13_.operation_mode="normal";
defparam c_1_13_.output_mode="comb_only";
defparam c_1_13_.lut_mask="fb73";
defparam c_1_13_.synch_mode="off";
defparam c_1_13_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_13_ (
        .combout(c_1_a[13]),
        .dataa(hilo[13]),
        .datab(hilo[45]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_13_.operation_mode="normal";
defparam c_1_a_13_.output_mode="comb_only";
defparam c_1_a_13_.lut_mask="153f";
defparam c_1_a_13_.synch_mode="off";
defparam c_1_a_13_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_29_ (
        .combout(c_1_29),
        .dataa(alu_func_o_3),
        .datab(c_1_a[29]),
        .datac(m172),
        .datad(m171),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_29_.operation_mode="normal";
defparam c_1_29_.output_mode="comb_only";
defparam c_1_29_.lut_mask="fb73";
defparam c_1_29_.synch_mode="off";
defparam c_1_29_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_29_ (
        .combout(c_1_a[29]),
        .dataa(hilo[29]),
        .datab(hilo[61]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_29_.operation_mode="normal";
defparam c_1_a_29_.output_mode="comb_only";
defparam c_1_a_29_.lut_mask="153f";
defparam c_1_a_29_.synch_mode="off";
defparam c_1_a_29_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_14_ (
        .combout(c_1_14),
        .dataa(alu_func_o_3),
        .datab(c_1_a[14]),
        .datac(m106),
        .datad(m105),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_14_.operation_mode="normal";
defparam c_1_14_.output_mode="comb_only";
defparam c_1_14_.lut_mask="fb73";
defparam c_1_14_.synch_mode="off";
defparam c_1_14_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_14_ (
        .combout(c_1_a[14]),
        .dataa(hilo[14]),
        .datab(hilo[46]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_14_.operation_mode="normal";
defparam c_1_a_14_.output_mode="comb_only";
defparam c_1_a_14_.lut_mask="153f";
defparam c_1_a_14_.synch_mode="off";
defparam c_1_a_14_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_17_ (
        .combout(c_1_17),
        .dataa(alu_func_o_3),
        .datab(c_1_a[17]),
        .datac(m124),
        .datad(m123),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_17_.operation_mode="normal";
defparam c_1_17_.output_mode="comb_only";
defparam c_1_17_.lut_mask="fb73";
defparam c_1_17_.synch_mode="off";
defparam c_1_17_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_17_ (
        .combout(c_1_a[17]),
        .dataa(hilo[17]),
        .datab(hilo[49]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_17_.operation_mode="normal";
defparam c_1_a_17_.output_mode="comb_only";
defparam c_1_a_17_.lut_mask="153f";
defparam c_1_a_17_.synch_mode="off";
defparam c_1_a_17_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_20_ (
        .combout(c_1_20),
        .dataa(alu_func_o_3),
        .datab(c_1_a[20]),
        .datac(m142),
        .datad(m141),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_20_.operation_mode="normal";
defparam c_1_20_.output_mode="comb_only";
defparam c_1_20_.lut_mask="fb73";
defparam c_1_20_.synch_mode="off";
defparam c_1_20_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_20_ (
        .combout(c_1_a[20]),
        .dataa(hilo[20]),
        .datab(hilo[52]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_20_.operation_mode="normal";
defparam c_1_a_20_.output_mode="comb_only";
defparam c_1_a_20_.lut_mask="153f";
defparam c_1_a_20_.synch_mode="off";
defparam c_1_a_20_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_2_ (
        .combout(c_1_2),
        .dataa(alu_func_o_3),
        .datab(c_1_a[2]),
        .datac(m197),
        .datad(m196),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_2_.operation_mode="normal";
defparam c_1_2_.output_mode="comb_only";
defparam c_1_2_.lut_mask="fb73";
defparam c_1_2_.synch_mode="off";
defparam c_1_2_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_2_ (
        .combout(c_1_a[2]),
        .dataa(hilo[2]),
        .datab(hilo[34]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_2_.operation_mode="normal";
defparam c_1_a_2_.output_mode="comb_only";
defparam c_1_a_2_.lut_mask="153f";
defparam c_1_a_2_.synch_mode="off";
defparam c_1_a_2_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_5_ (
        .combout(c_1_5),
        .dataa(alu_func_o_3),
        .datab(c_1_a[5]),
        .datac(m76),
        .datad(m75),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_5_.operation_mode="normal";
defparam c_1_5_.output_mode="comb_only";
defparam c_1_5_.lut_mask="fb73";
defparam c_1_5_.synch_mode="off";
defparam c_1_5_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_5_ (
        .combout(c_1_a[5]),
        .dataa(hilo[5]),
        .datab(hilo[37]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_5_.operation_mode="normal";
defparam c_1_a_5_.output_mode="comb_only";
defparam c_1_a_5_.lut_mask="153f";
defparam c_1_a_5_.synch_mode="off";
defparam c_1_a_5_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_15_ (
        .combout(c_1_15),
        .dataa(alu_func_o_3),
        .datab(c_1_a[15]),
        .datac(m112),
        .datad(m111),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_15_.operation_mode="normal";
defparam c_1_15_.output_mode="comb_only";
defparam c_1_15_.lut_mask="fb73";
defparam c_1_15_.synch_mode="off";
defparam c_1_15_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_15_ (
        .combout(c_1_a[15]),
        .dataa(hilo[15]),
        .datab(hilo[47]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_15_.operation_mode="normal";
defparam c_1_a_15_.output_mode="comb_only";
defparam c_1_a_15_.lut_mask="153f";
defparam c_1_a_15_.synch_mode="off";
defparam c_1_a_15_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_21_ (
        .combout(c_1_21),
        .dataa(alu_func_o_3),
        .datab(c_1_a[21]),
        .datac(m221),
        .datad(m220),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_21_.operation_mode="normal";
defparam c_1_21_.output_mode="comb_only";
defparam c_1_21_.lut_mask="fb73";
defparam c_1_21_.synch_mode="off";
defparam c_1_21_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_21_ (
        .combout(c_1_a[21]),
        .dataa(hilo[21]),
        .datab(hilo[53]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_21_.operation_mode="normal";
defparam c_1_a_21_.output_mode="comb_only";
defparam c_1_a_21_.lut_mask="153f";
defparam c_1_a_21_.synch_mode="off";
defparam c_1_a_21_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_24_ (
        .combout(c_1[24]),
        .dataa(alu_func_o_3),
        .datab(m229),
        .datac(c_1_a[24]),
        .datad(b_o_iv[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_24_.operation_mode="normal";
defparam c_1_24_.output_mode="comb_only";
defparam c_1_24_.lut_mask="1f0f";
defparam c_1_24_.synch_mode="off";
defparam c_1_24_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_24_ (
        .combout(c_1_a[24]),
        .dataa(hilo[24]),
        .datab(hilo[56]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_24_.operation_mode="normal";
defparam c_1_a_24_.output_mode="comb_only";
defparam c_1_a_24_.lut_mask="153f";
defparam c_1_a_24_.synch_mode="off";
defparam c_1_a_24_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_22_ (
        .combout(c_1[22]),
        .dataa(alu_func_o_3),
        .datab(m229),
        .datac(c_1_a[22]),
        .datad(b_o_iv_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_22_.operation_mode="normal";
defparam c_1_22_.output_mode="comb_only";
defparam c_1_22_.lut_mask="1f0f";
defparam c_1_22_.synch_mode="off";
defparam c_1_22_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_22_ (
        .combout(c_1_a[22]),
        .dataa(hilo[22]),
        .datab(hilo[54]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_22_.operation_mode="normal";
defparam c_1_a_22_.output_mode="comb_only";
defparam c_1_a_22_.lut_mask="153f";
defparam c_1_a_22_.synch_mode="off";
defparam c_1_a_22_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_16_ (
        .combout(c_1_16),
        .dataa(alu_func_o_3),
        .datab(c_1_a[16]),
        .datac(m118),
        .datad(m117),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_16_.operation_mode="normal";
defparam c_1_16_.output_mode="comb_only";
defparam c_1_16_.lut_mask="fb73";
defparam c_1_16_.synch_mode="off";
defparam c_1_16_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_16_ (
        .combout(c_1_a[16]),
        .dataa(hilo[16]),
        .datab(hilo[48]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_16_.operation_mode="normal";
defparam c_1_a_16_.output_mode="comb_only";
defparam c_1_a_16_.lut_mask="153f";
defparam c_1_a_16_.synch_mode="off";
defparam c_1_a_16_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_19_ (
        .combout(c_1_19),
        .dataa(alu_func_o_3),
        .datab(c_1_a[19]),
        .datac(m136),
        .datad(m135),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_19_.operation_mode="normal";
defparam c_1_19_.output_mode="comb_only";
defparam c_1_19_.lut_mask="fb73";
defparam c_1_19_.synch_mode="off";
defparam c_1_19_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_1_a_19_ (
        .combout(c_1_a[19]),
        .dataa(hilo[19]),
        .datab(hilo[51]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_1_a_19_.operation_mode="normal";
defparam c_1_a_19_.output_mode="comb_only";
defparam c_1_a_19_.lut_mask="153f";
defparam c_1_a_19_.synch_mode="off";
defparam c_1_a_19_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_0_0_ (
        .combout(c_0_Z[0]),
        .dataa(hilo[32]),
        .datab(hilo[0]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_0_0_.operation_mode="normal";
defparam c_0_0_.output_mode="comb_only";
defparam c_0_0_.lut_mask="eca0";
defparam c_0_0_.synch_mode="off";
defparam c_0_0_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_0_11_ (
        .combout(c_0_Z[11]),
        .dataa(hilo[11]),
        .datab(hilo[43]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_0_11_.operation_mode="normal";
defparam c_0_11_.output_mode="comb_only";
defparam c_0_11_.lut_mask="eac0";
defparam c_0_11_.synch_mode="off";
defparam c_0_11_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell c_0_23_ (
        .combout(c_0_Z[23]),
        .dataa(hilo[23]),
        .datab(hilo[55]),
        .datac(un24_res),
        .datad(un11_res),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam c_0_23_.operation_mode="normal";
defparam c_0_23_.output_mode="comb_only";
defparam c_0_23_.lut_mask="eac0";
defparam c_0_23_.synch_mode="off";
defparam c_0_23_.sum_lutc_input="datac";
// @5:155
  muldiv_ff muldiv_ff (
        .a_o_i_3(a_o_i_3),
        .a_o_i_0(a_o_i_0),
        .a_o_i_7(a_o_i_7),
        .hilo_61(hilo[61]),
        .hilo_57(hilo[57]),
        .hilo_53(hilo[53]),
        .hilo_49(hilo[49]),
        .hilo_48(hilo[48]),
        .hilo_44(hilo[44]),
        .hilo_40(hilo[40]),
        .hilo_36(hilo[36]),
        .hilo_32(hilo[32]),
        .hilo_28(hilo[28]),
        .hilo_24(hilo[24]),
        .hilo_20(hilo[20]),
        .hilo_16(hilo[16]),
        .hilo_15(hilo[15]),
        .hilo_11(hilo[11]),
        .hilo_7(hilo[7]),
        .hilo_3(hilo[3]),
        .hilo_64(hilo_64),
        .hilo_58(hilo[58]),
        .hilo_56(hilo[56]),
        .hilo_50(hilo[50]),
        .hilo_47(hilo[47]),
        .hilo_41(hilo[41]),
        .hilo_39(hilo[39]),
        .hilo_33(hilo[33]),
        .hilo_31(hilo[31]),
        .hilo_25(hilo[25]),
        .hilo_23(hilo[23]),
        .hilo_17(hilo[17]),
        .hilo_14(hilo[14]),
        .hilo_8(hilo[8]),
        .hilo_6(hilo[6]),
        .hilo_63(hilo[63]),
        .hilo_59(hilo[59]),
        .hilo_55(hilo[55]),
        .hilo_51(hilo[51]),
        .hilo_46(hilo[46]),
        .hilo_42(hilo[42]),
        .hilo_38(hilo[38]),
        .hilo_34(hilo[34]),
        .hilo_30(hilo[30]),
        .hilo_26(hilo[26]),
        .hilo_22(hilo[22]),
        .hilo_18(hilo[18]),
        .hilo_13(hilo[13]),
        .hilo_9(hilo[9]),
        .hilo_5(hilo[5]),
        .hilo_1(hilo[1]),
        .hilo_62(hilo[62]),
        .hilo_60(hilo[60]),
        .hilo_54(hilo[54]),
        .hilo_52(hilo[52]),
        .hilo_45(hilo[45]),
        .hilo_43(hilo[43]),
        .hilo_37(hilo[37]),
        .hilo_35(hilo[35]),
        .hilo_29(hilo[29]),
        .hilo_27(hilo[27]),
        .hilo_21(hilo[21]),
        .hilo_19(hilo[19]),
        .hilo_12(hilo[12]),
        .hilo_10(hilo[10]),
        .hilo_4(hilo[4]),
        .hilo_2(hilo[2]),
        .hilo_0(hilo[0]),
        .a_o_20(a_o_20),
        .a_o_22(a_o_22),
        .a_o_0(a_o_0),
        .a_o_8(a_o_8),
        .a_o_11(a_o_11),
        .a_o_12(a_o_12),
        .a_o_14(a_o_14),
        .a_o_13(a_o_13),
        .a_o_15(a_o_15),
        .a_o_6(a_o_6),
        .a_o_7(a_o_7),
        .a_o_27(a_o_27),
        .a_o_3(a_o_3),
        .a_o_4(a_o_4),
        .a_o_25(a_o_25),
        .a_o_24(a_o_24),
        .a_o_18(a_o_18),
        .a_o_17(a_o_17),
        .a_o_26(a_o_26),
        .a_o_9(a_o_9),
        .a_o_1(a_o_1),
        .a_o_30(a_o_30),
        .a_o_28(a_o_28),
        .a_o_5(a_o_5),
        .a_o_29(a_o_29),
        .a_o_21(a_o_21),
        .a_o_10(a_o_10),
        .a_o_2(a_o_2),
        .a_o_31(a_o_31),
        .alu_func_o_0(alu_func_o_0),
        .alu_func_o_3(alu_func_o_3),
        .alu_func_o_1(alu_func_o_1),
        .alu_func_o_4(alu_func_o_4),
        .alu_func_o_2(alu_func_o_2),
        .BUS7117_i_m_0(BUS7117_i_m_0),
        .BUS7117_i_m_4(BUS7117_i_m_4),
        .BUS7117_i_m_16(BUS7117_i_m_16),
        .b_o_iv_0_a5_0_d0(b_o_iv_0_a5_0_d0),
        .b_o_iv_0_a5_15(b_o_iv_0_a5_15),
        .b_o_iv_0_a5_0_0(b_o_iv_0_a5_0_0),
        .b_o_iv_0_a_0(b_o_iv_0_a_0),
        .b_o_iv_0_a_15(b_o_iv_0_a_15),
        .b_o_iv_0_a_16(b_o_iv_0_a_16),
        .wb_o_0(wb_o_0),
        .wb_o_4(wb_o_4),
        .wb_o_16(wb_o_16),
        .wb_o_28(wb_o_28),
        .b_o_iv_0_0(b_o_iv_0_0),
        .b_o_iv_0_15(b_o_iv_0[27]),
        .b_o_iv_0_16(b_o_iv_0[28]),
        .b_o_iv_0_d0(b_o_iv_0_d0),
        .b_o_iv_1(b_o_iv_1),
        .b_o_iv_2(b_o_iv_2),
        .b_o_iv_3(b_o_iv_3),
        .b_o_iv_4(b_o_iv_4),
        .b_o_iv_5(b_o_iv_5),
        .b_o_iv_6(b_o_iv_6),
        .b_o_iv_7(b_o_iv_7),
        .b_o_iv_8(b_o_iv_8),
        .b_o_iv_9(b_o_iv_9),
        .b_o_iv_10(b_o_iv_10),
        .b_o_iv_11(b_o_iv_11),
        .b_o_iv_13(b_o_iv_13),
        .b_o_iv_14(b_o_iv_14),
        .b_o_iv_15(b_o_iv_15),
        .b_o_iv_16(b_o_iv_16),
        .b_o_iv_17(b_o_iv_17),
        .b_o_iv_18(b_o_iv_18),
        .b_o_iv_19(b_o_iv_19),
        .b_o_iv_20(b_o_iv_20),
        .b_o_iv_22(b_o_iv_22),
        .b_o_iv_31(b_o_iv_31),
        .b_o_iv_21(b_o_iv[21]),
        .b_o_iv_23(b_o_iv[23]),
        .b_o_iv_24(b_o_iv[24]),
        .b_o_iv_25(b_o_iv[25]),
        .b_o_iv_26(b_o_iv[26]),
        .b_o_iv_29(b_o_iv[29]),
        .b_o_iv_30(b_o_iv[30]),
        .b_o_iv_a_0(b_o_iv_a_0),
        .b_o_iv_a_1(b_o_iv_a_1),
        .b_o_iv_a_2(b_o_iv_a_2),
        .b_o_iv_a_3(b_o_iv_a_3),
        .b_o_iv_a_4(b_o_iv_a_4),
        .b_o_iv_a_5(b_o_iv_a_5),
        .b_o_iv_a_6(b_o_iv_a_6),
        .b_o_iv_a_7(b_o_iv_a_7),
        .b_o_iv_a_8(b_o_iv_a_8),
        .b_o_iv_a_9(b_o_iv_a_9),
        .b_o_iv_a_10(b_o_iv_a_10),
        .b_o_iv_a_11(b_o_iv_a_11),
        .b_o_iv_a_13(b_o_iv_a_13),
        .b_o_iv_a_14(b_o_iv_a_14),
        .b_o_iv_a_15(b_o_iv_a_15),
        .b_o_iv_a_16(b_o_iv_a_16),
        .b_o_iv_a_17(b_o_iv_a_17),
        .b_o_iv_a_18(b_o_iv_a_18),
        .b_o_iv_a_19(b_o_iv_a_19),
        .b_o_iv_a_20(b_o_iv_a_20),
        .b_o_iv_a_21(b_o_iv_a_21),
        .b_o_iv_a_22(b_o_iv_a_22),
        .b_o_iv_a_23(b_o_iv_a_23),
        .b_o_iv_a_24(b_o_iv_a_24),
        .b_o_iv_a_25(b_o_iv_a_25),
        .b_o_iv_a_26(b_o_iv_a_26),
        .b_o_iv_a_29(b_o_iv_a_29),
        .b_o_iv_a_30(b_o_iv_a_30),
        .b_o_iv_a_31(b_o_iv_a_31),
        .BUS15471_i_m_0(BUS15471_i_m_0),
        .BUS15471_i_m_1(BUS15471_i_m_1),
        .BUS15471_i_m_2(BUS15471_i_m_2),
        .BUS15471_i_m_4(BUS15471_i_m_4),
        .BUS15471_i_m_5(BUS15471_i_m_5),
        .BUS15471_i_m_6(BUS15471_i_m_6),
        .BUS15471_i_m_7(BUS15471_i_m_7),
        .BUS15471_i_m_8(BUS15471_i_m_8),
        .BUS15471_i_m_9(BUS15471_i_m_9),
        .BUS15471_i_m_10(BUS15471_i_m_10),
        .BUS15471_i_m_12(BUS15471_i_m_12),
        .BUS15471_i_m_13(BUS15471_i_m_13),
        .BUS15471_i_m_14(BUS15471_i_m_14),
        .BUS15471_i_m_16(BUS15471_i_m_16),
        .BUS15471_i_m_17(BUS15471_i_m_17),
        .BUS15471_i_m_18(BUS15471_i_m_18),
        .BUS15471_i_m_19(BUS15471_i_m_19),
        .BUS15471_i_m_20(BUS15471_i_m_20),
        .BUS15471_i_m_21(BUS15471_i_m_21),
        .BUS15471_i_m_22(BUS15471_i_m_22),
        .BUS15471_i_m_23(BUS15471_i_m_23),
        .BUS15471_i_m_24(BUS15471_i_m_24),
        .BUS15471_i_m_25(BUS15471_i_m_25),
        .BUS15471_i_m_28(BUS15471_i_m_28),
        .BUS15471_i_m_29(BUS15471_i_m_29),
        .BUS15471_i_m_30(BUS15471_i_m_30),
        .r32_o_0(r32_o_0),
        .r32_o_1(r32_o_1),
        .r32_o_2(r32_o_2),
        .r32_o_4(r32_o_4),
        .r32_o_5(r32_o_5),
        .r32_o_6(r32_o_6),
        .r32_o_7(r32_o_7),
        .r32_o_8(r32_o_8),
        .r32_o_9(r32_o_9),
        .r32_o_10(r32_o_10),
        .r32_o_11(r32_o_11),
        .r32_o_12(r32_o_12),
        .r32_o_13(r32_o_13),
        .r32_o_14(r32_o_14),
        .r32_o_16(r32_o_16),
        .r32_o_17(r32_o_17),
        .r32_o_18(r32_o_18),
        .r32_o_19(r32_o_19),
        .r32_o_20(r32_o_20),
        .r32_o_21(r32_o_21),
        .r32_o_22(r32_o_22),
        .r32_o_23(r32_o_23),
        .r32_o_24(r32_o_24),
        .r32_o_25(r32_o_25),
        .r32_o_26(r32_o_26),
        .r32_o_28(r32_o_28),
        .r32_o_29(r32_o_29),
        .r32_o_30(r32_o_30),
        .count_5(count_0),
        .overflow_2_sqmuxa(overflow_2_sqmuxa),
        .m4_0(m4_0),
        .un11_res(un11_res),
        .un24_res(un24_res),
        .G_230(G_230),
        .I_159_a(I_159_a),
        .finish(finish),
        .op2_sign_reged(op2_sign_reged),
        .start(start),
        .mul(mul),
        .hilo25(hilo25),
        .rst_c(rst_c),
        .rdy(rdy),
        .b_o_1_sqmuxa(b_o_1_sqmuxa),
        .b_o_0_sqmuxa(b_o_0_sqmuxa),
        .clk_c(clk_c)
);
// @5:176
  alu mips_alu (
        .a_o_i_3(a_o_i_3),
        .a_o_i_0(a_o_i_0),
        .a_o_i_7(a_o_i_7),
        .alu_out_0_a2_0_0_0(alu_out_0_a2_0_0[24]),
        .b_o_iv_0_0(b_o_iv_0_0),
        .b_o_iv_0_16(b_o_iv_0[28]),
        .b_o_iv_0_15(b_o_iv_0[27]),
        .alu_out_6_0_12(alu_out_6_0[23]),
        .alu_out_6_0_11(alu_out_6_0[22]),
        .alu_out_6_0_0(alu_out_6_0[11]),
        .alu_out_0_a2_1_0(alu_out_0_a2_1[24]),
        .b_o_iv_19(b_o_iv_19),
        .b_o_iv_16(b_o_iv_16),
        .b_o_iv_23(b_o_iv[23]),
        .b_o_iv_1(b_o_iv_1),
        .b_o_iv_21(b_o_iv[21]),
        .b_o_iv_13(b_o_iv_13),
        .b_o_iv_9(b_o_iv_9),
        .b_o_iv_2(b_o_iv_2),
        .b_o_iv_31(b_o_iv_31),
        .b_o_iv_30(b_o_iv[30]),
        .b_o_iv_29(b_o_iv[29]),
        .b_o_iv_26(b_o_iv[26]),
        .b_o_iv_25(b_o_iv[25]),
        .b_o_iv_20(b_o_iv_20),
        .b_o_iv_18(b_o_iv_18),
        .b_o_iv_17(b_o_iv_17),
        .b_o_iv_15(b_o_iv_15),
        .b_o_iv_14(b_o_iv_14),
        .b_o_iv_10(b_o_iv_10),
        .b_o_iv_8(b_o_iv_8),
        .b_o_iv_7(b_o_iv_7),
        .b_o_iv_6(b_o_iv_6),
        .b_o_iv_5(b_o_iv_5),
        .b_o_iv_4(b_o_iv_4),
        .b_o_iv_3(b_o_iv_3),
        .b_o_iv_24(b_o_iv[24]),
        .b_o_iv_22(b_o_iv_22),
        .b_o_iv_11(b_o_iv_11),
        .b_o_iv_0_d0(b_o_iv_0_d0),
        .a_o_1(a_o_1),
        .a_o_21(a_o_21),
        .a_o_13(a_o_13),
        .a_o_12(a_o_12),
        .a_o_9(a_o_9),
        .a_o_2(a_o_2),
        .a_o_31(a_o_31),
        .a_o_30(a_o_30),
        .a_o_29(a_o_29),
        .a_o_28(a_o_28),
        .a_o_27(a_o_27),
        .a_o_26(a_o_26),
        .a_o_25(a_o_25),
        .a_o_20(a_o_20),
        .a_o_18(a_o_18),
        .a_o_17(a_o_17),
        .a_o_15(a_o_15),
        .a_o_14(a_o_14),
        .a_o_10(a_o_10),
        .a_o_8(a_o_8),
        .a_o_7(a_o_7),
        .a_o_6(a_o_6),
        .a_o_5(a_o_5),
        .a_o_4(a_o_4),
        .a_o_3(a_o_3),
        .a_o_24(a_o_24),
        .a_o_22(a_o_22),
        .a_o_11(a_o_11),
        .a_o_0(a_o_0),
        .alu_func_o_1(alu_func_o_1),
        .alu_func_o_4(alu_func_o_4),
        .alu_func_o_2(alu_func_o_2),
        .alu_func_o_3(alu_func_o_3),
        .alu_func_o_0(alu_func_o_0),
        .m50(m50),
        .m4_1(m4_1),
        .m190(m190),
        .m220(m220),
        .m214(m214),
        .m208(m208),
        .m202(m202),
        .m196(m196),
        .m183(m183),
        .m177(m177),
        .m171(m171),
        .m165(m165),
        .m159(m159),
        .m153(m153),
        .m147(m147),
        .m141(m141),
        .m135(m135),
        .m129(m129),
        .m123(m123),
        .m117(m117),
        .m111(m111),
        .m105(m105),
        .m99(m99),
        .m93(m93),
        .m87(m87),
        .m81(m81),
        .m75(m75),
        .m69(m69),
        .m62(m62),
        .m136(m136),
        .m118(m118),
        .m229(m229),
        .m226(m226),
        .m4_0(m4_0),
        .m191(m191),
        .m221(m221),
        .m215(m215),
        .m209(m209),
        .m203(m203),
        .m197(m197),
        .m184(m184),
        .m178(m178),
        .m172(m172),
        .m166(m166),
        .m160(m160),
        .m154(m154),
        .m148(m148),
        .m142(m142),
        .m130(m130),
        .m124(m124),
        .m112(m112),
        .m106(m106),
        .m100(m100),
        .m94(m94),
        .m88(m88),
        .m82(m82),
        .m76(m76),
        .m70(m70),
        .m41(m41),
        .m63(m63),
        .m51(m51)
);
// @5:184
  shifter_tak mips_shifter (
        .alu_func_o_2(alu_func_o_2),
        .alu_func_o_0(alu_func_o_0),
        .alu_func_o_1(alu_func_o_1),
        .alu_func_o_4(alu_func_o_4),
        .alu_func_o_3(alu_func_o_3),
        .shift_out_89_0_0(shift_out_89_0[1]),
        .shift_out_88_22(shift_out_88[23]),
        .shift_out_88_19(shift_out_88[20]),
        .shift_out_90_1_0_1(shift_out_90_1_0[14]),
        .shift_out_87_24(shift_out_87[26]),
        .shift_out_85_2(shift_out_85[26]),
        .shift_out_86_u_0(shift_out_86_u[0]),
        .shift_out_90_2_0(shift_out_90_2[17]),
        .shift_out_86_2_0_4(shift_out_86_2_0[12]),
        .shift_out_86_2_0_0(shift_out_86_2_0[8]),
        .shift_out_89_2_0_17(shift_out_89_2_0_3),
        .shift_out_89_2_0_16(shift_out_89_2_0_2),
        .shift_out_89_2_0_15(shift_out_89_2_0_1),
        .shift_out_89_2_0_14(shift_out_89_2_0_0),
        .shift_out_89_4_0_2(shift_out_89_4_0_2),
        .shift_out_89_4_0_9(shift_out_89_4_0_9),
        .shift_out_89_4_0_8(shift_out_89_4_0_8),
        .shift_out_89_4_0_7(shift_out_89_4_0_7),
        .shift_out_89_4_0_5(shift_out_89_4_0_5),
        .shift_out_89_4_0_4(shift_out_89_4_0_4),
        .shift_out_89_4_0_3(shift_out_89_4_0_3),
        .shift_out_89_4_0_1(shift_out_89_4_0_1),
        .shift_out_89_4_0_0(shift_out_89_4_0_0),
        .shift_out_89_4_0_13(shift_out_89_4_0_13),
        .shift_out_89_4_0_12(shift_out_89_4_0_12),
        .shift_out_89_4_0_11(shift_out_89_4_0_11),
        .shift_out_89_4_0_10(shift_out_89_4_0_10),
        .shift_out_89_4_0_6(shift_out_89_4_0_6),
        .shift_out_91_0(shift_out_91[0]),
        .shift_out_91_11(shift_out_91[11]),
        .shift_out_91_9(shift_out_91[9]),
        .shift_out_91_7(shift_out_91[7]),
        .shift_out_91_6(shift_out_91[6]),
        .shift_out_91_5(shift_out_91[5]),
        .shift_out_91_4(shift_out_91[4]),
        .shift_out_91_2(shift_out_91[2]),
        .shift_out_89_30(shift_out_89_30),
        .shift_out_89_29(shift_out_89_29),
        .shift_out_89_0_d0(shift_out_89[0]),
        .shift_out_89_3(shift_out_89_3),
        .shift_out_89_2(shift_out_89_2),
        .shift_out_89_28(shift_out_89_28),
        .shift_out_89_27(shift_out_89_27),
        .shift_out_89_25(shift_out_89_25),
        .shift_out_89_24(shift_out_89_24),
        .shift_out_89_5(shift_out_89_5),
        .shift_out_89_4(shift_out_89_4),
        .shift_out_86_2(shift_out_86[4]),
        .shift_out_86_4(shift_out_86[6]),
        .shift_out_86_5(shift_out_86[7]),
        .shift_out_86_3(shift_out_86[5]),
        .shift_out_86_0(shift_out_86[2]),
        .shift_out_90_u_11(shift_out_90_u[20]),
        .shift_out_90_u_2(shift_out_90_u[11]),
        .shift_out_90_u_14(shift_out_90_u[23]),
        .shift_out_90_u_0(shift_out_90_u[9]),
        .shift_out_92_d_0(shift_out_92_d[14]),
        .shift_out_92_d_3(shift_out_92_d[17]),
        .shift_out_92_2_0_d_0(shift_out_92_2_0_d[8]),
        .shift_out_92_2_0_d_4(shift_out_92_2_0_d[12]),
        .shift_out_36_0(shift_out_36[31]),
        .shift_out_92_2(shift_out_92_2),
        .shift_out_92_0(shift_out_92_0),
        .shift_out_92_25(shift_out_92_25),
        .shift_out_92_21(shift_out_92_21),
        .shift_out_92_20(shift_out_92_20),
        .shift_out_92_18(shift_out_92_18),
        .shift_out_92_14(shift_out_92_14),
        .shift_out_92_9(shift_out_92_9),
        .shift_out_92_12(shift_out_92_12),
        .shift_out_92_17(shift_out_92_17),
        .shift_out_92_26(shift_out_92_26),
        .shift_out_92_24(shift_out_92_24),
        .shift_out_92_23(shift_out_92_23),
        .shift_out_92_15(shift_out_92_15),
        .shift_out_92_2_0_2(shift_out_92_2_0_2),
        .shift_out_92_2_0_1(shift_out_92_2_0_1),
        .shift_out_92_2_0_0(shift_out_92_2_0_0),
        .shift_out_92_2_0_3(shift_out_92_2_0_3),
        .shift_out_89_1_0(shift_out_89_1[1]),
        .shift_out_89_1_30(shift_out_89_1[31]),
        .b_o_iv_23(b_o_iv[23]),
        .b_o_iv_1(b_o_iv_1),
        .b_o_iv_7(b_o_iv_7),
        .b_o_iv_13(b_o_iv_13),
        .b_o_iv_9(b_o_iv_9),
        .b_o_iv_5(b_o_iv_5),
        .b_o_iv_20(b_o_iv_20),
        .b_o_iv_18(b_o_iv_18),
        .b_o_iv_17(b_o_iv_17),
        .b_o_iv_16(b_o_iv_16),
        .b_o_iv_11(b_o_iv_11),
        .b_o_iv_10(b_o_iv_10),
        .b_o_iv_8(b_o_iv_8),
        .b_o_iv_6(b_o_iv_6),
        .b_o_iv_2(b_o_iv_2),
        .b_o_iv_4(b_o_iv_4),
        .b_o_iv_3(b_o_iv_3),
        .b_o_iv_14(b_o_iv_14),
        .b_o_iv_0_d0(b_o_iv_0_d0),
        .b_o_iv_26(b_o_iv[26]),
        .b_o_iv_22(b_o_iv_22),
        .b_o_iv_21(b_o_iv[21]),
        .b_o_iv_19(b_o_iv_19),
        .b_o_iv_15(b_o_iv_15),
        .b_o_iv_25(b_o_iv[25]),
        .b_o_iv_24(b_o_iv[24]),
        .b_o_iv_31(b_o_iv_31),
        .b_o_iv_30(b_o_iv[30]),
        .b_o_iv_29(b_o_iv[29]),
        .b_o_iv_0_0(b_o_iv_0_0),
        .b_o_iv_0_15(b_o_iv_0[27]),
        .b_o_iv_0_16(b_o_iv_0[28]),
        .a_o_0(a_o_0),
        .a_o_4(a_o_4),
        .a_o_3(a_o_3),
        .a_o_1(a_o_1),
        .a_o_2(a_o_2),
        .m4_1(m4_1),
        .m4_0(m4_0),
        .shift_out_sn_m31_i(shift_out_sn_m31_i),
        .shift_out586(shift_out586),
        .shift_out_sn_m25_0(shift_out_sn_m25_0),
        .shift_out_sn_m17_0(shift_out_sn_m17_0)
);
endmodule /* big_alu */

// VQM4.1+ 
module fwd_mux_2 (
  r32_o_0_31,
  r32_o_0_30,
  r32_o_0_29,
  r32_o_0_28,
  r32_o_0_27,
  r32_o_0_26,
  r32_o_0_25,
  r32_o_0_24,
  r32_o_0_23,
  r32_o_0_22,
  r32_o_0_21,
  r32_o_0_20,
  r32_o_0_19,
  r32_o_0_18,
  r32_o_0_17,
  r32_o_0_16,
  r32_o_0_15,
  r32_o_0_14,
  r32_o_0_13,
  r32_o_0_12,
  r32_o_0_11,
  r32_o_0_10,
  r32_o_0_9,
  r32_o_0_8,
  r32_o_0_7,
  r32_o_0_6,
  r32_o_0_5,
  r32_o_0_4,
  r32_o_0_3,
  r32_o_0_2,
  r32_o_0_1,
  r32_o_0_0,
  r32_o_31,
  r32_o_30,
  r32_o_29,
  r32_o_28,
  r32_o_27,
  r32_o_26,
  r32_o_25,
  r32_o_24,
  r32_o_23,
  r32_o_22,
  r32_o_21,
  r32_o_20,
  r32_o_19,
  r32_o_18,
  r32_o_17,
  r32_o_16,
  r32_o_15,
  r32_o_14,
  r32_o_13,
  r32_o_12,
  r32_o_11,
  r32_o_10,
  r32_o_9,
  r32_o_8,
  r32_o_7,
  r32_o_6,
  r32_o_5,
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_1,
  r32_o_0,
  dout_2_a_31,
  dout_2_a_30,
  dout_2_a_29,
  dout_2_a_28,
  dout_2_a_27,
  dout_2_a_26,
  dout_2_a_25,
  dout_2_a_24,
  dout_2_a_23,
  dout_2_a_22,
  dout_2_a_21,
  dout_2_a_20,
  dout_2_a_19,
  dout_2_a_18,
  dout_2_a_17,
  dout_2_a_16,
  dout_2_a_15,
  dout_2_a_14,
  dout_2_a_13,
  dout_2_a_12,
  dout_2_a_11,
  dout_2_a_10,
  dout_2_a_9,
  dout_2_a_8,
  dout_2_a_7,
  dout_2_a_6,
  dout_2_a_5,
  dout_2_a_4,
  dout_2_a_3,
  dout_2_a_2,
  dout_2_a_1,
  dout_2_a_0,
  r5_o_0,
  q_0,
  wb_we_o_0,
  un17_mux_fw_NE_2,
  un17_mux_fw_NE_1,
  mux_fw_1,
  un30_mux_fw,
  dout7
);
input r32_o_0_31 ;
input r32_o_0_30 ;
input r32_o_0_29 ;
input r32_o_0_28 ;
input r32_o_0_27 ;
input r32_o_0_26 ;
input r32_o_0_25 ;
input r32_o_0_24 ;
input r32_o_0_23 ;
input r32_o_0_22 ;
input r32_o_0_21 ;
input r32_o_0_20 ;
input r32_o_0_19 ;
input r32_o_0_18 ;
input r32_o_0_17 ;
input r32_o_0_16 ;
input r32_o_0_15 ;
input r32_o_0_14 ;
input r32_o_0_13 ;
input r32_o_0_12 ;
input r32_o_0_11 ;
input r32_o_0_10 ;
input r32_o_0_9 ;
input r32_o_0_8 ;
input r32_o_0_7 ;
input r32_o_0_6 ;
input r32_o_0_5 ;
input r32_o_0_4 ;
input r32_o_0_3 ;
input r32_o_0_2 ;
input r32_o_0_1 ;
input r32_o_0_0 ;
input r32_o_31 ;
input r32_o_30 ;
input r32_o_29 ;
input r32_o_28 ;
input r32_o_27 ;
input r32_o_26 ;
input r32_o_25 ;
input r32_o_24 ;
input r32_o_23 ;
input r32_o_22 ;
input r32_o_21 ;
input r32_o_20 ;
input r32_o_19 ;
input r32_o_18 ;
input r32_o_17 ;
input r32_o_16 ;
input r32_o_15 ;
input r32_o_14 ;
input r32_o_13 ;
input r32_o_12 ;
input r32_o_11 ;
input r32_o_10 ;
input r32_o_9 ;
input r32_o_8 ;
input r32_o_7 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
output dout_2_a_31 ;
output dout_2_a_30 ;
output dout_2_a_29 ;
output dout_2_a_28 ;
output dout_2_a_27 ;
output dout_2_a_26 ;
output dout_2_a_25 ;
output dout_2_a_24 ;
output dout_2_a_23 ;
output dout_2_a_22 ;
output dout_2_a_21 ;
output dout_2_a_20 ;
output dout_2_a_19 ;
output dout_2_a_18 ;
output dout_2_a_17 ;
output dout_2_a_16 ;
output dout_2_a_15 ;
output dout_2_a_14 ;
output dout_2_a_13 ;
output dout_2_a_12 ;
output dout_2_a_11 ;
output dout_2_a_10 ;
output dout_2_a_9 ;
output dout_2_a_8 ;
output dout_2_a_7 ;
output dout_2_a_6 ;
output dout_2_a_5 ;
output dout_2_a_4 ;
output dout_2_a_3 ;
output dout_2_a_2 ;
output dout_2_a_1 ;
output dout_2_a_0 ;
input r5_o_0 ;
input q_0 ;
input wb_we_o_0 ;
input un17_mux_fw_NE_2 ;
input un17_mux_fw_NE_1 ;
input mux_fw_1 ;
input un30_mux_fw ;
output dout7 ;
wire r32_o_0_31 ;
wire r32_o_0_30 ;
wire r32_o_0_29 ;
wire r32_o_0_28 ;
wire r32_o_0_27 ;
wire r32_o_0_26 ;
wire r32_o_0_25 ;
wire r32_o_0_24 ;
wire r32_o_0_23 ;
wire r32_o_0_22 ;
wire r32_o_0_21 ;
wire r32_o_0_20 ;
wire r32_o_0_19 ;
wire r32_o_0_18 ;
wire r32_o_0_17 ;
wire r32_o_0_16 ;
wire r32_o_0_15 ;
wire r32_o_0_14 ;
wire r32_o_0_13 ;
wire r32_o_0_12 ;
wire r32_o_0_11 ;
wire r32_o_0_10 ;
wire r32_o_0_9 ;
wire r32_o_0_8 ;
wire r32_o_0_7 ;
wire r32_o_0_6 ;
wire r32_o_0_5 ;
wire r32_o_0_4 ;
wire r32_o_0_3 ;
wire r32_o_0_2 ;
wire r32_o_0_1 ;
wire r32_o_0_0 ;
wire r32_o_31 ;
wire r32_o_30 ;
wire r32_o_29 ;
wire r32_o_28 ;
wire r32_o_27 ;
wire r32_o_26 ;
wire r32_o_25 ;
wire r32_o_24 ;
wire r32_o_23 ;
wire r32_o_22 ;
wire r32_o_21 ;
wire r32_o_20 ;
wire r32_o_19 ;
wire r32_o_18 ;
wire r32_o_17 ;
wire r32_o_16 ;
wire r32_o_15 ;
wire r32_o_14 ;
wire r32_o_13 ;
wire r32_o_12 ;
wire r32_o_11 ;
wire r32_o_10 ;
wire r32_o_9 ;
wire r32_o_8 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire dout_2_a_31 ;
wire dout_2_a_30 ;
wire dout_2_a_29 ;
wire dout_2_a_28 ;
wire dout_2_a_27 ;
wire dout_2_a_26 ;
wire dout_2_a_25 ;
wire dout_2_a_24 ;
wire dout_2_a_23 ;
wire dout_2_a_22 ;
wire dout_2_a_21 ;
wire dout_2_a_20 ;
wire dout_2_a_19 ;
wire dout_2_a_18 ;
wire dout_2_a_17 ;
wire dout_2_a_16 ;
wire dout_2_a_15 ;
wire dout_2_a_14 ;
wire dout_2_a_13 ;
wire dout_2_a_12 ;
wire dout_2_a_11 ;
wire dout_2_a_10 ;
wire dout_2_a_9 ;
wire dout_2_a_8 ;
wire dout_2_a_7 ;
wire dout_2_a_6 ;
wire dout_2_a_5 ;
wire dout_2_a_4 ;
wire dout_2_a_3 ;
wire dout_2_a_2 ;
wire dout_2_a_1 ;
wire dout_2_a_0 ;
wire r5_o_0 ;
wire q_0 ;
wire wb_we_o_0 ;
wire un17_mux_fw_NE_2 ;
wire un17_mux_fw_NE_1 ;
wire mux_fw_1 ;
wire un30_mux_fw ;
wire dout7 ;
wire dout7_a ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:48
  cyclone_lcell dout7_cZ (
        .combout(dout7),
        .dataa(wb_we_o_0),
        .datab(dout7_a),
        .datac(un30_mux_fw),
        .datad(mux_fw_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout7_cZ.operation_mode="normal";
defparam dout7_cZ.output_mode="comb_only";
defparam dout7_cZ.lut_mask="0008";
defparam dout7_cZ.synch_mode="off";
defparam dout7_cZ.sum_lutc_input="datac";
// @12:48
  cyclone_lcell dout7_a_cZ (
        .combout(dout7_a),
        .dataa(q_0),
        .datab(r5_o_0),
        .datac(un17_mux_fw_NE_1),
        .datad(un17_mux_fw_NE_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout7_a_cZ.operation_mode="normal";
defparam dout7_a_cZ.output_mode="comb_only";
defparam dout7_a_cZ.lut_mask="0009";
defparam dout7_a_cZ.synch_mode="off";
defparam dout7_a_cZ.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_0_ (
        .combout(dout_2_a_0),
        .dataa(r32_o_0),
        .datab(r32_o_0_0),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_0_.operation_mode="normal";
defparam dout_2_a_0_.output_mode="comb_only";
defparam dout_2_a_0_.lut_mask="3535";
defparam dout_2_a_0_.synch_mode="off";
defparam dout_2_a_0_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_1_ (
        .combout(dout_2_a_1),
        .dataa(r32_o_1),
        .datab(r32_o_0_1),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_1_.operation_mode="normal";
defparam dout_2_a_1_.output_mode="comb_only";
defparam dout_2_a_1_.lut_mask="3535";
defparam dout_2_a_1_.synch_mode="off";
defparam dout_2_a_1_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_2_ (
        .combout(dout_2_a_2),
        .dataa(r32_o_2),
        .datab(r32_o_0_2),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_2_.operation_mode="normal";
defparam dout_2_a_2_.output_mode="comb_only";
defparam dout_2_a_2_.lut_mask="3535";
defparam dout_2_a_2_.synch_mode="off";
defparam dout_2_a_2_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_3_ (
        .combout(dout_2_a_3),
        .dataa(r32_o_3),
        .datab(r32_o_0_3),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_3_.operation_mode="normal";
defparam dout_2_a_3_.output_mode="comb_only";
defparam dout_2_a_3_.lut_mask="3535";
defparam dout_2_a_3_.synch_mode="off";
defparam dout_2_a_3_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_4_ (
        .combout(dout_2_a_4),
        .dataa(r32_o_4),
        .datab(r32_o_0_4),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_4_.operation_mode="normal";
defparam dout_2_a_4_.output_mode="comb_only";
defparam dout_2_a_4_.lut_mask="3535";
defparam dout_2_a_4_.synch_mode="off";
defparam dout_2_a_4_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_5_ (
        .combout(dout_2_a_5),
        .dataa(r32_o_5),
        .datab(r32_o_0_5),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_5_.operation_mode="normal";
defparam dout_2_a_5_.output_mode="comb_only";
defparam dout_2_a_5_.lut_mask="3535";
defparam dout_2_a_5_.synch_mode="off";
defparam dout_2_a_5_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_6_ (
        .combout(dout_2_a_6),
        .dataa(r32_o_6),
        .datab(r32_o_0_6),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_6_.operation_mode="normal";
defparam dout_2_a_6_.output_mode="comb_only";
defparam dout_2_a_6_.lut_mask="3535";
defparam dout_2_a_6_.synch_mode="off";
defparam dout_2_a_6_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_7_ (
        .combout(dout_2_a_7),
        .dataa(r32_o_7),
        .datab(r32_o_0_7),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_7_.operation_mode="normal";
defparam dout_2_a_7_.output_mode="comb_only";
defparam dout_2_a_7_.lut_mask="3535";
defparam dout_2_a_7_.synch_mode="off";
defparam dout_2_a_7_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_8_ (
        .combout(dout_2_a_8),
        .dataa(r32_o_8),
        .datab(r32_o_0_8),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_8_.operation_mode="normal";
defparam dout_2_a_8_.output_mode="comb_only";
defparam dout_2_a_8_.lut_mask="3535";
defparam dout_2_a_8_.synch_mode="off";
defparam dout_2_a_8_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_9_ (
        .combout(dout_2_a_9),
        .dataa(r32_o_9),
        .datab(r32_o_0_9),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_9_.operation_mode="normal";
defparam dout_2_a_9_.output_mode="comb_only";
defparam dout_2_a_9_.lut_mask="3535";
defparam dout_2_a_9_.synch_mode="off";
defparam dout_2_a_9_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_10_ (
        .combout(dout_2_a_10),
        .dataa(r32_o_10),
        .datab(r32_o_0_10),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_10_.operation_mode="normal";
defparam dout_2_a_10_.output_mode="comb_only";
defparam dout_2_a_10_.lut_mask="3535";
defparam dout_2_a_10_.synch_mode="off";
defparam dout_2_a_10_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_11_ (
        .combout(dout_2_a_11),
        .dataa(r32_o_11),
        .datab(r32_o_0_11),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_11_.operation_mode="normal";
defparam dout_2_a_11_.output_mode="comb_only";
defparam dout_2_a_11_.lut_mask="3535";
defparam dout_2_a_11_.synch_mode="off";
defparam dout_2_a_11_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_12_ (
        .combout(dout_2_a_12),
        .dataa(r32_o_12),
        .datab(r32_o_0_12),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_12_.operation_mode="normal";
defparam dout_2_a_12_.output_mode="comb_only";
defparam dout_2_a_12_.lut_mask="3535";
defparam dout_2_a_12_.synch_mode="off";
defparam dout_2_a_12_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_13_ (
        .combout(dout_2_a_13),
        .dataa(r32_o_13),
        .datab(r32_o_0_13),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_13_.operation_mode="normal";
defparam dout_2_a_13_.output_mode="comb_only";
defparam dout_2_a_13_.lut_mask="3535";
defparam dout_2_a_13_.synch_mode="off";
defparam dout_2_a_13_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_14_ (
        .combout(dout_2_a_14),
        .dataa(r32_o_14),
        .datab(r32_o_0_14),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_14_.operation_mode="normal";
defparam dout_2_a_14_.output_mode="comb_only";
defparam dout_2_a_14_.lut_mask="3535";
defparam dout_2_a_14_.synch_mode="off";
defparam dout_2_a_14_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_15_ (
        .combout(dout_2_a_15),
        .dataa(r32_o_15),
        .datab(r32_o_0_15),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_15_.operation_mode="normal";
defparam dout_2_a_15_.output_mode="comb_only";
defparam dout_2_a_15_.lut_mask="3535";
defparam dout_2_a_15_.synch_mode="off";
defparam dout_2_a_15_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_16_ (
        .combout(dout_2_a_16),
        .dataa(r32_o_16),
        .datab(r32_o_0_16),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_16_.operation_mode="normal";
defparam dout_2_a_16_.output_mode="comb_only";
defparam dout_2_a_16_.lut_mask="3535";
defparam dout_2_a_16_.synch_mode="off";
defparam dout_2_a_16_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_17_ (
        .combout(dout_2_a_17),
        .dataa(r32_o_17),
        .datab(r32_o_0_17),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_17_.operation_mode="normal";
defparam dout_2_a_17_.output_mode="comb_only";
defparam dout_2_a_17_.lut_mask="3535";
defparam dout_2_a_17_.synch_mode="off";
defparam dout_2_a_17_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_18_ (
        .combout(dout_2_a_18),
        .dataa(r32_o_18),
        .datab(r32_o_0_18),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_18_.operation_mode="normal";
defparam dout_2_a_18_.output_mode="comb_only";
defparam dout_2_a_18_.lut_mask="3535";
defparam dout_2_a_18_.synch_mode="off";
defparam dout_2_a_18_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_19_ (
        .combout(dout_2_a_19),
        .dataa(r32_o_19),
        .datab(r32_o_0_19),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_19_.operation_mode="normal";
defparam dout_2_a_19_.output_mode="comb_only";
defparam dout_2_a_19_.lut_mask="3535";
defparam dout_2_a_19_.synch_mode="off";
defparam dout_2_a_19_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_20_ (
        .combout(dout_2_a_20),
        .dataa(r32_o_20),
        .datab(r32_o_0_20),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_20_.operation_mode="normal";
defparam dout_2_a_20_.output_mode="comb_only";
defparam dout_2_a_20_.lut_mask="3535";
defparam dout_2_a_20_.synch_mode="off";
defparam dout_2_a_20_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_21_ (
        .combout(dout_2_a_21),
        .dataa(r32_o_21),
        .datab(r32_o_0_21),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_21_.operation_mode="normal";
defparam dout_2_a_21_.output_mode="comb_only";
defparam dout_2_a_21_.lut_mask="3535";
defparam dout_2_a_21_.synch_mode="off";
defparam dout_2_a_21_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_22_ (
        .combout(dout_2_a_22),
        .dataa(r32_o_22),
        .datab(r32_o_0_22),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_22_.operation_mode="normal";
defparam dout_2_a_22_.output_mode="comb_only";
defparam dout_2_a_22_.lut_mask="3535";
defparam dout_2_a_22_.synch_mode="off";
defparam dout_2_a_22_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_23_ (
        .combout(dout_2_a_23),
        .dataa(r32_o_23),
        .datab(r32_o_0_23),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_23_.operation_mode="normal";
defparam dout_2_a_23_.output_mode="comb_only";
defparam dout_2_a_23_.lut_mask="3535";
defparam dout_2_a_23_.synch_mode="off";
defparam dout_2_a_23_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_24_ (
        .combout(dout_2_a_24),
        .dataa(r32_o_24),
        .datab(r32_o_0_24),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_24_.operation_mode="normal";
defparam dout_2_a_24_.output_mode="comb_only";
defparam dout_2_a_24_.lut_mask="3535";
defparam dout_2_a_24_.synch_mode="off";
defparam dout_2_a_24_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_25_ (
        .combout(dout_2_a_25),
        .dataa(r32_o_25),
        .datab(r32_o_0_25),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_25_.operation_mode="normal";
defparam dout_2_a_25_.output_mode="comb_only";
defparam dout_2_a_25_.lut_mask="3535";
defparam dout_2_a_25_.synch_mode="off";
defparam dout_2_a_25_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_26_ (
        .combout(dout_2_a_26),
        .dataa(r32_o_26),
        .datab(r32_o_0_26),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_26_.operation_mode="normal";
defparam dout_2_a_26_.output_mode="comb_only";
defparam dout_2_a_26_.lut_mask="3535";
defparam dout_2_a_26_.synch_mode="off";
defparam dout_2_a_26_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_27_ (
        .combout(dout_2_a_27),
        .dataa(r32_o_27),
        .datab(r32_o_0_27),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_27_.operation_mode="normal";
defparam dout_2_a_27_.output_mode="comb_only";
defparam dout_2_a_27_.lut_mask="3535";
defparam dout_2_a_27_.synch_mode="off";
defparam dout_2_a_27_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_28_ (
        .combout(dout_2_a_28),
        .dataa(r32_o_28),
        .datab(r32_o_0_28),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_28_.operation_mode="normal";
defparam dout_2_a_28_.output_mode="comb_only";
defparam dout_2_a_28_.lut_mask="3535";
defparam dout_2_a_28_.synch_mode="off";
defparam dout_2_a_28_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_29_ (
        .combout(dout_2_a_29),
        .dataa(r32_o_29),
        .datab(r32_o_0_29),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_29_.operation_mode="normal";
defparam dout_2_a_29_.output_mode="comb_only";
defparam dout_2_a_29_.lut_mask="3535";
defparam dout_2_a_29_.synch_mode="off";
defparam dout_2_a_29_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_30_ (
        .combout(dout_2_a_30),
        .dataa(r32_o_30),
        .datab(r32_o_0_30),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_30_.operation_mode="normal";
defparam dout_2_a_30_.output_mode="comb_only";
defparam dout_2_a_30_.lut_mask="3535";
defparam dout_2_a_30_.synch_mode="off";
defparam dout_2_a_30_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell dout_2_a_31_ (
        .combout(dout_2_a_31),
        .dataa(r32_o_31),
        .datab(r32_o_0_31),
        .datac(mux_fw_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2_a_31_.operation_mode="normal";
defparam dout_2_a_31_.output_mode="comb_only";
defparam dout_2_a_31_.lut_mask="3535";
defparam dout_2_a_31_.synch_mode="off";
defparam dout_2_a_31_.sum_lutc_input="datac";
endmodule /* fwd_mux_2 */

// VQM4.1+ 
module alu_muxa (
  r32_o_3_16,
  r32_o_3_2,
  r32_o_3_1,
  r32_o_3_0,
  r32_o_3_29,
  r32_o_3_28,
  r32_o_3_27,
  r32_o_3_26,
  r32_o_3_25,
  r32_o_3_24,
  r32_o_3_23,
  r32_o_3_22,
  r32_o_3_20,
  r32_o_3_19,
  r32_o_3_18,
  r32_o_3_15,
  r32_o_3_13,
  r32_o_3_12,
  r32_o_3_11,
  r32_o_3_10,
  r32_o_3_9,
  r32_o_3_8,
  r32_o_3_7,
  r32_o_3_6,
  r32_o_3_5,
  r32_o_3_4,
  r32_o_3_3,
  r32_o_3_17,
  r32_o_3_14,
  r32_o_3_21,
  r32_o_2_16,
  r32_o_2_2,
  r32_o_2_1,
  r32_o_2_0,
  r32_o_2_29,
  r32_o_2_28,
  r32_o_2_27,
  r32_o_2_26,
  r32_o_2_25,
  r32_o_2_24,
  r32_o_2_23,
  r32_o_2_22,
  r32_o_2_20,
  r32_o_2_19,
  r32_o_2_18,
  r32_o_2_15,
  r32_o_2_13,
  r32_o_2_12,
  r32_o_2_11,
  r32_o_2_10,
  r32_o_2_9,
  r32_o_2_8,
  r32_o_2_7,
  r32_o_2_6,
  r32_o_2_5,
  r32_o_2_4,
  r32_o_2_3,
  r32_o_2_17,
  r32_o_2_14,
  r32_o_2_21,
  r32_o_1_18,
  r32_o_1_4,
  r32_o_1_3,
  r32_o_1_2,
  r32_o_1_1,
  r32_o_1_0,
  r32_o_1_31,
  r32_o_1_30,
  r32_o_1_29,
  r32_o_1_28,
  r32_o_1_27,
  r32_o_1_26,
  r32_o_1_25,
  r32_o_1_24,
  r32_o_1_22,
  r32_o_1_21,
  r32_o_1_20,
  r32_o_1_17,
  r32_o_1_15,
  r32_o_1_14,
  r32_o_1_13,
  r32_o_1_12,
  r32_o_1_11,
  r32_o_1_10,
  r32_o_1_9,
  r32_o_1_8,
  r32_o_1_7,
  r32_o_1_6,
  r32_o_1_5,
  r32_o_1_19,
  r32_o_1_16,
  r32_o_1_23,
  a_o_0_1,
  a_o_0_0,
  a_o_20,
  a_o_31,
  a_o_30,
  a_o_29,
  a_o_28,
  a_o_27,
  a_o_26,
  a_o_25,
  a_o_24,
  a_o_22,
  a_o_21,
  a_o_18,
  a_o_17,
  a_o_15,
  a_o_14,
  a_o_13,
  a_o_12,
  a_o_11,
  a_o_10,
  a_o_9,
  a_o_8,
  a_o_7,
  a_o_6,
  a_o_5,
  a_o_4,
  a_o_3,
  a_o_2,
  a_o_1,
  a_o_0_d0,
  muxa_ctl_o_0,
  muxa_ctl_o_1,
  wb_we_o_0_0,
  wb_we_o_0,
  wb_o_31,
  wb_o_30,
  wb_o_29,
  wb_o_28,
  wb_o_27,
  wb_o_26,
  wb_o_25,
  wb_o_24,
  wb_o_22,
  wb_o_21,
  wb_o_20,
  wb_o_18,
  wb_o_17,
  wb_o_15,
  wb_o_14,
  wb_o_13,
  wb_o_12,
  wb_o_11,
  wb_o_10,
  wb_o_9,
  wb_o_8,
  wb_o_7,
  wb_o_6,
  wb_o_5,
  wb_o_4,
  wb_o_3,
  wb_o_2,
  wb_o_1,
  wb_o_0,
  wb_o_16,
  wb_o_19,
  wb_o_23,
  r32_o_0_18,
  r32_o_0_4,
  r32_o_0_3,
  r32_o_0_2,
  r32_o_0_1,
  r32_o_0_0,
  r32_o_0_20,
  r32_o_0_31,
  r32_o_0_30,
  r32_o_0_29,
  r32_o_0_28,
  r32_o_0_27,
  r32_o_0_26,
  r32_o_0_25,
  r32_o_0_24,
  r32_o_0_22,
  r32_o_0_21,
  r32_o_0_17,
  r32_o_0_15,
  r32_o_0_14,
  r32_o_0_13,
  r32_o_0_12,
  r32_o_0_11,
  r32_o_0_10,
  r32_o_0_9,
  r32_o_0_8,
  r32_o_0_7,
  r32_o_0_6,
  r32_o_0_5,
  r32_o_0_16,
  r32_o_0_19,
  r32_o_0_23,
  r32_o_20,
  r32_o_31,
  r32_o_30,
  r32_o_29,
  r32_o_28,
  r32_o_27,
  r32_o_26,
  r32_o_25,
  r32_o_24,
  r32_o_22,
  r32_o_21,
  r32_o_18,
  r32_o_17,
  r32_o_15,
  r32_o_14,
  r32_o_13,
  r32_o_12,
  r32_o_11,
  r32_o_10,
  r32_o_9,
  r32_o_8,
  r32_o_7,
  r32_o_6,
  r32_o_5,
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_1,
  r32_o_0,
  r32_o_16,
  r32_o_19,
  r32_o_23,
  a_o_i_0,
  a_o_i_3,
  a_o_i_7,
  un30_mux_fw,
  un17_mux_fw_NE,
  un14_mux_fw,
  un1_mux_fw_NE
);
input r32_o_3_16 ;
input r32_o_3_2 ;
input r32_o_3_1 ;
input r32_o_3_0 ;
input r32_o_3_29 ;
input r32_o_3_28 ;
input r32_o_3_27 ;
input r32_o_3_26 ;
input r32_o_3_25 ;
input r32_o_3_24 ;
input r32_o_3_23 ;
input r32_o_3_22 ;
input r32_o_3_20 ;
input r32_o_3_19 ;
input r32_o_3_18 ;
input r32_o_3_15 ;
input r32_o_3_13 ;
input r32_o_3_12 ;
input r32_o_3_11 ;
input r32_o_3_10 ;
input r32_o_3_9 ;
input r32_o_3_8 ;
input r32_o_3_7 ;
input r32_o_3_6 ;
input r32_o_3_5 ;
input r32_o_3_4 ;
input r32_o_3_3 ;
input r32_o_3_17 ;
input r32_o_3_14 ;
input r32_o_3_21 ;
input r32_o_2_16 ;
input r32_o_2_2 ;
input r32_o_2_1 ;
input r32_o_2_0 ;
input r32_o_2_29 ;
input r32_o_2_28 ;
input r32_o_2_27 ;
input r32_o_2_26 ;
input r32_o_2_25 ;
input r32_o_2_24 ;
input r32_o_2_23 ;
input r32_o_2_22 ;
input r32_o_2_20 ;
input r32_o_2_19 ;
input r32_o_2_18 ;
input r32_o_2_15 ;
input r32_o_2_13 ;
input r32_o_2_12 ;
input r32_o_2_11 ;
input r32_o_2_10 ;
input r32_o_2_9 ;
input r32_o_2_8 ;
input r32_o_2_7 ;
input r32_o_2_6 ;
input r32_o_2_5 ;
input r32_o_2_4 ;
input r32_o_2_3 ;
input r32_o_2_17 ;
input r32_o_2_14 ;
input r32_o_2_21 ;
input r32_o_1_18 ;
input r32_o_1_4 ;
input r32_o_1_3 ;
input r32_o_1_2 ;
input r32_o_1_1 ;
input r32_o_1_0 ;
input r32_o_1_31 ;
input r32_o_1_30 ;
input r32_o_1_29 ;
input r32_o_1_28 ;
input r32_o_1_27 ;
input r32_o_1_26 ;
input r32_o_1_25 ;
input r32_o_1_24 ;
input r32_o_1_22 ;
input r32_o_1_21 ;
input r32_o_1_20 ;
input r32_o_1_17 ;
input r32_o_1_15 ;
input r32_o_1_14 ;
input r32_o_1_13 ;
input r32_o_1_12 ;
input r32_o_1_11 ;
input r32_o_1_10 ;
input r32_o_1_9 ;
input r32_o_1_8 ;
input r32_o_1_7 ;
input r32_o_1_6 ;
input r32_o_1_5 ;
input r32_o_1_19 ;
input r32_o_1_16 ;
input r32_o_1_23 ;
input a_o_0_1 ;
input a_o_0_0 ;
output a_o_20 ;
output a_o_31 ;
output a_o_30 ;
output a_o_29 ;
output a_o_28 ;
output a_o_27 ;
output a_o_26 ;
output a_o_25 ;
output a_o_24 ;
output a_o_22 ;
output a_o_21 ;
output a_o_18 ;
output a_o_17 ;
output a_o_15 ;
output a_o_14 ;
output a_o_13 ;
output a_o_12 ;
output a_o_11 ;
output a_o_10 ;
output a_o_9 ;
output a_o_8 ;
output a_o_7 ;
output a_o_6 ;
output a_o_5 ;
output a_o_4 ;
output a_o_3 ;
output a_o_2 ;
output a_o_1 ;
output a_o_0_d0 ;
input muxa_ctl_o_0 ;
input muxa_ctl_o_1 ;
input wb_we_o_0_0 ;
input wb_we_o_0 ;
input wb_o_31 ;
input wb_o_30 ;
input wb_o_29 ;
input wb_o_28 ;
input wb_o_27 ;
input wb_o_26 ;
input wb_o_25 ;
input wb_o_24 ;
input wb_o_22 ;
input wb_o_21 ;
input wb_o_20 ;
input wb_o_18 ;
input wb_o_17 ;
input wb_o_15 ;
input wb_o_14 ;
input wb_o_13 ;
input wb_o_12 ;
input wb_o_11 ;
input wb_o_10 ;
input wb_o_9 ;
input wb_o_8 ;
input wb_o_7 ;
input wb_o_6 ;
input wb_o_5 ;
input wb_o_4 ;
input wb_o_3 ;
input wb_o_2 ;
input wb_o_1 ;
input wb_o_0 ;
input wb_o_16 ;
input wb_o_19 ;
input wb_o_23 ;
input r32_o_0_18 ;
input r32_o_0_4 ;
input r32_o_0_3 ;
input r32_o_0_2 ;
input r32_o_0_1 ;
input r32_o_0_0 ;
input r32_o_0_20 ;
input r32_o_0_31 ;
input r32_o_0_30 ;
input r32_o_0_29 ;
input r32_o_0_28 ;
input r32_o_0_27 ;
input r32_o_0_26 ;
input r32_o_0_25 ;
input r32_o_0_24 ;
input r32_o_0_22 ;
input r32_o_0_21 ;
input r32_o_0_17 ;
input r32_o_0_15 ;
input r32_o_0_14 ;
input r32_o_0_13 ;
input r32_o_0_12 ;
input r32_o_0_11 ;
input r32_o_0_10 ;
input r32_o_0_9 ;
input r32_o_0_8 ;
input r32_o_0_7 ;
input r32_o_0_6 ;
input r32_o_0_5 ;
input r32_o_0_16 ;
input r32_o_0_19 ;
input r32_o_0_23 ;
input r32_o_20 ;
input r32_o_31 ;
input r32_o_30 ;
input r32_o_29 ;
input r32_o_28 ;
input r32_o_27 ;
input r32_o_26 ;
input r32_o_25 ;
input r32_o_24 ;
input r32_o_22 ;
input r32_o_21 ;
input r32_o_18 ;
input r32_o_17 ;
input r32_o_15 ;
input r32_o_14 ;
input r32_o_13 ;
input r32_o_12 ;
input r32_o_11 ;
input r32_o_10 ;
input r32_o_9 ;
input r32_o_8 ;
input r32_o_7 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
input r32_o_16 ;
input r32_o_19 ;
input r32_o_23 ;
output a_o_i_0 ;
output a_o_i_3 ;
output a_o_i_7 ;
input un30_mux_fw ;
input un17_mux_fw_NE ;
input un14_mux_fw ;
input un1_mux_fw_NE ;
wire r32_o_3_16 ;
wire r32_o_3_2 ;
wire r32_o_3_1 ;
wire r32_o_3_0 ;
wire r32_o_3_29 ;
wire r32_o_3_28 ;
wire r32_o_3_27 ;
wire r32_o_3_26 ;
wire r32_o_3_25 ;
wire r32_o_3_24 ;
wire r32_o_3_23 ;
wire r32_o_3_22 ;
wire r32_o_3_20 ;
wire r32_o_3_19 ;
wire r32_o_3_18 ;
wire r32_o_3_15 ;
wire r32_o_3_13 ;
wire r32_o_3_12 ;
wire r32_o_3_11 ;
wire r32_o_3_10 ;
wire r32_o_3_9 ;
wire r32_o_3_8 ;
wire r32_o_3_7 ;
wire r32_o_3_6 ;
wire r32_o_3_5 ;
wire r32_o_3_4 ;
wire r32_o_3_3 ;
wire r32_o_3_17 ;
wire r32_o_3_14 ;
wire r32_o_3_21 ;
wire r32_o_2_16 ;
wire r32_o_2_2 ;
wire r32_o_2_1 ;
wire r32_o_2_0 ;
wire r32_o_2_29 ;
wire r32_o_2_28 ;
wire r32_o_2_27 ;
wire r32_o_2_26 ;
wire r32_o_2_25 ;
wire r32_o_2_24 ;
wire r32_o_2_23 ;
wire r32_o_2_22 ;
wire r32_o_2_20 ;
wire r32_o_2_19 ;
wire r32_o_2_18 ;
wire r32_o_2_15 ;
wire r32_o_2_13 ;
wire r32_o_2_12 ;
wire r32_o_2_11 ;
wire r32_o_2_10 ;
wire r32_o_2_9 ;
wire r32_o_2_8 ;
wire r32_o_2_7 ;
wire r32_o_2_6 ;
wire r32_o_2_5 ;
wire r32_o_2_4 ;
wire r32_o_2_3 ;
wire r32_o_2_17 ;
wire r32_o_2_14 ;
wire r32_o_2_21 ;
wire r32_o_1_18 ;
wire r32_o_1_4 ;
wire r32_o_1_3 ;
wire r32_o_1_2 ;
wire r32_o_1_1 ;
wire r32_o_1_0 ;
wire r32_o_1_31 ;
wire r32_o_1_30 ;
wire r32_o_1_29 ;
wire r32_o_1_28 ;
wire r32_o_1_27 ;
wire r32_o_1_26 ;
wire r32_o_1_25 ;
wire r32_o_1_24 ;
wire r32_o_1_22 ;
wire r32_o_1_21 ;
wire r32_o_1_20 ;
wire r32_o_1_17 ;
wire r32_o_1_15 ;
wire r32_o_1_14 ;
wire r32_o_1_13 ;
wire r32_o_1_12 ;
wire r32_o_1_11 ;
wire r32_o_1_10 ;
wire r32_o_1_9 ;
wire r32_o_1_8 ;
wire r32_o_1_7 ;
wire r32_o_1_6 ;
wire r32_o_1_5 ;
wire r32_o_1_19 ;
wire r32_o_1_16 ;
wire r32_o_1_23 ;
wire a_o_0_1 ;
wire a_o_0_0 ;
wire a_o_20 ;
wire a_o_31 ;
wire a_o_30 ;
wire a_o_29 ;
wire a_o_28 ;
wire a_o_27 ;
wire a_o_26 ;
wire a_o_25 ;
wire a_o_24 ;
wire a_o_22 ;
wire a_o_21 ;
wire a_o_18 ;
wire a_o_17 ;
wire a_o_15 ;
wire a_o_14 ;
wire a_o_13 ;
wire a_o_12 ;
wire a_o_11 ;
wire a_o_10 ;
wire a_o_9 ;
wire a_o_8 ;
wire a_o_7 ;
wire a_o_6 ;
wire a_o_5 ;
wire a_o_4 ;
wire a_o_3 ;
wire a_o_2 ;
wire a_o_1 ;
wire a_o_0_d0 ;
wire muxa_ctl_o_0 ;
wire muxa_ctl_o_1 ;
wire wb_we_o_0_0 ;
wire wb_we_o_0 ;
wire wb_o_31 ;
wire wb_o_30 ;
wire wb_o_29 ;
wire wb_o_28 ;
wire wb_o_27 ;
wire wb_o_26 ;
wire wb_o_25 ;
wire wb_o_24 ;
wire wb_o_22 ;
wire wb_o_21 ;
wire wb_o_20 ;
wire wb_o_18 ;
wire wb_o_17 ;
wire wb_o_15 ;
wire wb_o_14 ;
wire wb_o_13 ;
wire wb_o_12 ;
wire wb_o_11 ;
wire wb_o_10 ;
wire wb_o_9 ;
wire wb_o_8 ;
wire wb_o_7 ;
wire wb_o_6 ;
wire wb_o_5 ;
wire wb_o_4 ;
wire wb_o_3 ;
wire wb_o_2 ;
wire wb_o_1 ;
wire wb_o_0 ;
wire wb_o_16 ;
wire wb_o_19 ;
wire wb_o_23 ;
wire r32_o_0_18 ;
wire r32_o_0_4 ;
wire r32_o_0_3 ;
wire r32_o_0_2 ;
wire r32_o_0_1 ;
wire r32_o_0_0 ;
wire r32_o_0_20 ;
wire r32_o_0_31 ;
wire r32_o_0_30 ;
wire r32_o_0_29 ;
wire r32_o_0_28 ;
wire r32_o_0_27 ;
wire r32_o_0_26 ;
wire r32_o_0_25 ;
wire r32_o_0_24 ;
wire r32_o_0_22 ;
wire r32_o_0_21 ;
wire r32_o_0_17 ;
wire r32_o_0_15 ;
wire r32_o_0_14 ;
wire r32_o_0_13 ;
wire r32_o_0_12 ;
wire r32_o_0_11 ;
wire r32_o_0_10 ;
wire r32_o_0_9 ;
wire r32_o_0_8 ;
wire r32_o_0_7 ;
wire r32_o_0_6 ;
wire r32_o_0_5 ;
wire r32_o_0_16 ;
wire r32_o_0_19 ;
wire r32_o_0_23 ;
wire r32_o_20 ;
wire r32_o_31 ;
wire r32_o_30 ;
wire r32_o_29 ;
wire r32_o_28 ;
wire r32_o_27 ;
wire r32_o_26 ;
wire r32_o_25 ;
wire r32_o_24 ;
wire r32_o_22 ;
wire r32_o_21 ;
wire r32_o_18 ;
wire r32_o_17 ;
wire r32_o_15 ;
wire r32_o_14 ;
wire r32_o_13 ;
wire r32_o_12 ;
wire r32_o_11 ;
wire r32_o_10 ;
wire r32_o_9 ;
wire r32_o_8 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire r32_o_16 ;
wire r32_o_19 ;
wire r32_o_23 ;
wire a_o_i_0 ;
wire a_o_i_3 ;
wire a_o_i_7 ;
wire un30_mux_fw ;
wire un17_mux_fw_NE ;
wire un14_mux_fw ;
wire un1_mux_fw_NE ;
wire [23:16] a_o_i_0_Z;
wire [16:16] a_o_i_a2_5;
wire [23:16] a_o_i_a;
wire [16:16] a_o_i_a2_3;
wire [16:16] a_o_i_a2_6;
wire [31:0] a_o_a;
wire [31:0] a_o_3_d;
wire [18:2] a_o_0;
wire [31:5] a_o_3_Z;
wire [23:16] a_o_i_0_a;
wire [31:0] a_o_3_d_a;
wire un6_a_o ;
wire un6_a_o_a ;
wire a_o_sn_m2_0_a2 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @5:207
  cyclone_lcell a_o_i_23_ (
        .combout(a_o_i_7),
        .dataa(r32_o_23),
        .datab(a_o_i_0_Z[23]),
        .datac(a_o_i_a2_5[16]),
        .datad(a_o_i_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_23_.operation_mode="normal";
defparam a_o_i_23_.output_mode="comb_only";
defparam a_o_i_23_.lut_mask="ffdc";
defparam a_o_i_23_.synch_mode="off";
defparam a_o_i_23_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_a_23_ (
        .combout(a_o_i_a[23]),
        .dataa(r32_o_0_23),
        .datab(wb_o_23),
        .datac(a_o_i_a2_3[16]),
        .datad(un6_a_o),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_a_23_.operation_mode="normal";
defparam a_o_i_a_23_.output_mode="comb_only";
defparam a_o_i_a_23_.lut_mask="3050";
defparam a_o_i_a_23_.synch_mode="off";
defparam a_o_i_a_23_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_19_ (
        .combout(a_o_i_3),
        .dataa(r32_o_19),
        .datab(a_o_i_0_Z[19]),
        .datac(a_o_i_a2_5[16]),
        .datad(a_o_i_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_19_.operation_mode="normal";
defparam a_o_i_19_.output_mode="comb_only";
defparam a_o_i_19_.lut_mask="ffdc";
defparam a_o_i_19_.synch_mode="off";
defparam a_o_i_19_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_a_19_ (
        .combout(a_o_i_a[19]),
        .dataa(r32_o_0_19),
        .datab(wb_o_19),
        .datac(a_o_i_a2_3[16]),
        .datad(un6_a_o),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_a_19_.operation_mode="normal";
defparam a_o_i_a_19_.output_mode="comb_only";
defparam a_o_i_a_19_.lut_mask="3050";
defparam a_o_i_a_19_.synch_mode="off";
defparam a_o_i_a_19_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_16_ (
        .combout(a_o_i_0),
        .dataa(r32_o_16),
        .datab(a_o_i_0_Z[16]),
        .datac(a_o_i_a2_5[16]),
        .datad(a_o_i_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_16_.operation_mode="normal";
defparam a_o_i_16_.output_mode="comb_only";
defparam a_o_i_16_.lut_mask="ffdc";
defparam a_o_i_16_.synch_mode="off";
defparam a_o_i_16_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_a_16_ (
        .combout(a_o_i_a[16]),
        .dataa(r32_o_0_16),
        .datab(wb_o_16),
        .datac(a_o_i_a2_3[16]),
        .datad(un6_a_o),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_a_16_.operation_mode="normal";
defparam a_o_i_a_16_.output_mode="comb_only";
defparam a_o_i_a_16_.lut_mask="3050";
defparam a_o_i_a_16_.synch_mode="off";
defparam a_o_i_a_16_.sum_lutc_input="datac";
// @5:208
  cyclone_lcell un6_a_o_cZ (
        .combout(un6_a_o),
        .dataa(wb_we_o_0),
        .datab(un1_mux_fw_NE),
        .datac(un14_mux_fw),
        .datad(un6_a_o_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un6_a_o_cZ.operation_mode="normal";
defparam un6_a_o_cZ.output_mode="comb_only";
defparam un6_a_o_cZ.lut_mask="fd00";
defparam un6_a_o_cZ.synch_mode="off";
defparam un6_a_o_cZ.sum_lutc_input="datac";
// @5:208
  cyclone_lcell un6_a_o_a_cZ (
        .combout(un6_a_o_a),
        .dataa(VCC),
        .datab(wb_we_o_0_0),
        .datac(un17_mux_fw_NE),
        .datad(un30_mux_fw),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un6_a_o_a_cZ.operation_mode="normal";
defparam un6_a_o_a_cZ.output_mode="comb_only";
defparam un6_a_o_a_cZ.lut_mask="000c";
defparam un6_a_o_a_cZ.synch_mode="off";
defparam un6_a_o_a_cZ.sum_lutc_input="datac";
// @5:209
  cyclone_lcell a_o_sn_m2_0_a2_cZ (
        .combout(a_o_sn_m2_0_a2),
        .dataa(wb_we_o_0),
        .datab(muxa_ctl_o_1),
        .datac(un1_mux_fw_NE),
        .datad(un14_mux_fw),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_sn_m2_0_a2_cZ.operation_mode="normal";
defparam a_o_sn_m2_0_a2_cZ.output_mode="comb_only";
defparam a_o_sn_m2_0_a2_cZ.lut_mask="ccc4";
defparam a_o_sn_m2_0_a2_cZ.synch_mode="off";
defparam a_o_sn_m2_0_a2_cZ.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_a2_3_16_ (
        .combout(a_o_i_a2_3[16]),
        .dataa(wb_we_o_0),
        .datab(un1_mux_fw_NE),
        .datac(un14_mux_fw),
        .datad(a_o_i_a2_6[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_a2_3_16_.operation_mode="normal";
defparam a_o_i_a2_3_16_.output_mode="comb_only";
defparam a_o_i_a2_3_16_.lut_mask="fd00";
defparam a_o_i_a2_3_16_.synch_mode="off";
defparam a_o_i_a2_3_16_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_a2_5_16_ (
        .combout(a_o_i_a2_5[16]),
        .dataa(wb_we_o_0),
        .datab(un1_mux_fw_NE),
        .datac(un14_mux_fw),
        .datad(a_o_i_a2_6[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_a2_5_16_.operation_mode="normal";
defparam a_o_i_a2_5_16_.output_mode="comb_only";
defparam a_o_i_a2_5_16_.lut_mask="0200";
defparam a_o_i_a2_5_16_.synch_mode="off";
defparam a_o_i_a2_5_16_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_0_ (
        .combout(a_o_0_d0),
        .dataa(muxa_ctl_o_0),
        .datab(muxa_ctl_o_1),
        .datac(a_o_a[0]),
        .datad(a_o_3_d[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_0_.operation_mode="normal";
defparam a_o_0_.output_mode="comb_only";
defparam a_o_0_.lut_mask="4f0b";
defparam a_o_0_.synch_mode="off";
defparam a_o_0_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_0_ (
        .combout(a_o_a[0]),
        .dataa(VCC),
        .datab(r32_o_0),
        .datac(muxa_ctl_o_0),
        .datad(a_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_0_.operation_mode="normal";
defparam a_o_a_0_.output_mode="comb_only";
defparam a_o_a_0_.lut_mask="03f3";
defparam a_o_a_0_.synch_mode="off";
defparam a_o_a_0_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_1_ (
        .combout(a_o_1),
        .dataa(muxa_ctl_o_0),
        .datab(muxa_ctl_o_1),
        .datac(a_o_a[1]),
        .datad(a_o_3_d[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_1_.operation_mode="normal";
defparam a_o_1_.output_mode="comb_only";
defparam a_o_1_.lut_mask="4f0b";
defparam a_o_1_.synch_mode="off";
defparam a_o_1_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_1_ (
        .combout(a_o_a[1]),
        .dataa(VCC),
        .datab(r32_o_1),
        .datac(muxa_ctl_o_0),
        .datad(a_o_0_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_1_.operation_mode="normal";
defparam a_o_a_1_.output_mode="comb_only";
defparam a_o_a_1_.lut_mask="03f3";
defparam a_o_a_1_.synch_mode="off";
defparam a_o_a_1_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_2_ (
        .combout(a_o_2),
        .dataa(muxa_ctl_o_0),
        .datab(muxa_ctl_o_1),
        .datac(a_o_a[2]),
        .datad(a_o_3_d[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_2_.operation_mode="normal";
defparam a_o_2_.output_mode="comb_only";
defparam a_o_2_.lut_mask="4f0b";
defparam a_o_2_.synch_mode="off";
defparam a_o_2_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_2_ (
        .combout(a_o_a[2]),
        .dataa(VCC),
        .datab(r32_o_2),
        .datac(muxa_ctl_o_0),
        .datad(a_o_0[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_2_.operation_mode="normal";
defparam a_o_a_2_.output_mode="comb_only";
defparam a_o_a_2_.lut_mask="03f3";
defparam a_o_a_2_.synch_mode="off";
defparam a_o_a_2_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_ (
        .combout(a_o_3),
        .dataa(muxa_ctl_o_0),
        .datab(muxa_ctl_o_1),
        .datac(a_o_a[3]),
        .datad(a_o_3_d[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_.operation_mode="normal";
defparam a_o_3_.output_mode="comb_only";
defparam a_o_3_.lut_mask="4f0b";
defparam a_o_3_.synch_mode="off";
defparam a_o_3_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_3_ (
        .combout(a_o_a[3]),
        .dataa(VCC),
        .datab(r32_o_3),
        .datac(muxa_ctl_o_0),
        .datad(a_o_0[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_3_.operation_mode="normal";
defparam a_o_a_3_.output_mode="comb_only";
defparam a_o_a_3_.lut_mask="03f3";
defparam a_o_a_3_.synch_mode="off";
defparam a_o_a_3_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_4_ (
        .combout(a_o_4),
        .dataa(muxa_ctl_o_0),
        .datab(muxa_ctl_o_1),
        .datac(a_o_a[4]),
        .datad(a_o_3_d[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_4_.operation_mode="normal";
defparam a_o_4_.output_mode="comb_only";
defparam a_o_4_.lut_mask="4f0b";
defparam a_o_4_.synch_mode="off";
defparam a_o_4_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_4_ (
        .combout(a_o_a[4]),
        .dataa(VCC),
        .datab(r32_o_4),
        .datac(muxa_ctl_o_0),
        .datad(a_o_0[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_4_.operation_mode="normal";
defparam a_o_a_4_.output_mode="comb_only";
defparam a_o_a_4_.lut_mask="03f3";
defparam a_o_a_4_.synch_mode="off";
defparam a_o_a_4_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_5_ (
        .combout(a_o_5),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[5]),
        .datad(a_o_3_Z[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_5_.operation_mode="normal";
defparam a_o_5_.output_mode="comb_only";
defparam a_o_5_.lut_mask="3f0c";
defparam a_o_5_.synch_mode="off";
defparam a_o_5_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_5_ (
        .combout(a_o_a[5]),
        .dataa(VCC),
        .datab(r32_o_5),
        .datac(r32_o_0_5),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_5_.operation_mode="normal";
defparam a_o_a_5_.output_mode="comb_only";
defparam a_o_a_5_.lut_mask="0f33";
defparam a_o_a_5_.synch_mode="off";
defparam a_o_a_5_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_6_ (
        .combout(a_o_6),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[6]),
        .datad(a_o_3_Z[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_6_.operation_mode="normal";
defparam a_o_6_.output_mode="comb_only";
defparam a_o_6_.lut_mask="3f0c";
defparam a_o_6_.synch_mode="off";
defparam a_o_6_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_6_ (
        .combout(a_o_a[6]),
        .dataa(VCC),
        .datab(r32_o_6),
        .datac(r32_o_0_6),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_6_.operation_mode="normal";
defparam a_o_a_6_.output_mode="comb_only";
defparam a_o_a_6_.lut_mask="0f33";
defparam a_o_a_6_.synch_mode="off";
defparam a_o_a_6_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_7_ (
        .combout(a_o_7),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[7]),
        .datad(a_o_3_Z[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_7_.operation_mode="normal";
defparam a_o_7_.output_mode="comb_only";
defparam a_o_7_.lut_mask="3f0c";
defparam a_o_7_.synch_mode="off";
defparam a_o_7_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_7_ (
        .combout(a_o_a[7]),
        .dataa(VCC),
        .datab(r32_o_7),
        .datac(r32_o_0_7),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_7_.operation_mode="normal";
defparam a_o_a_7_.output_mode="comb_only";
defparam a_o_a_7_.lut_mask="0f33";
defparam a_o_a_7_.synch_mode="off";
defparam a_o_a_7_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_8_ (
        .combout(a_o_8),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[8]),
        .datad(a_o_3_Z[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_8_.operation_mode="normal";
defparam a_o_8_.output_mode="comb_only";
defparam a_o_8_.lut_mask="3f0c";
defparam a_o_8_.synch_mode="off";
defparam a_o_8_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_8_ (
        .combout(a_o_a[8]),
        .dataa(VCC),
        .datab(r32_o_8),
        .datac(r32_o_0_8),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_8_.operation_mode="normal";
defparam a_o_a_8_.output_mode="comb_only";
defparam a_o_a_8_.lut_mask="0f33";
defparam a_o_a_8_.synch_mode="off";
defparam a_o_a_8_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_9_ (
        .combout(a_o_9),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[9]),
        .datad(a_o_3_Z[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_9_.operation_mode="normal";
defparam a_o_9_.output_mode="comb_only";
defparam a_o_9_.lut_mask="3f0c";
defparam a_o_9_.synch_mode="off";
defparam a_o_9_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_9_ (
        .combout(a_o_a[9]),
        .dataa(VCC),
        .datab(r32_o_9),
        .datac(r32_o_0_9),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_9_.operation_mode="normal";
defparam a_o_a_9_.output_mode="comb_only";
defparam a_o_a_9_.lut_mask="0f33";
defparam a_o_a_9_.synch_mode="off";
defparam a_o_a_9_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_10_ (
        .combout(a_o_10),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[10]),
        .datad(a_o_3_Z[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_10_.operation_mode="normal";
defparam a_o_10_.output_mode="comb_only";
defparam a_o_10_.lut_mask="3f0c";
defparam a_o_10_.synch_mode="off";
defparam a_o_10_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_10_ (
        .combout(a_o_a[10]),
        .dataa(VCC),
        .datab(r32_o_10),
        .datac(r32_o_0_10),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_10_.operation_mode="normal";
defparam a_o_a_10_.output_mode="comb_only";
defparam a_o_a_10_.lut_mask="0f33";
defparam a_o_a_10_.synch_mode="off";
defparam a_o_a_10_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_11_ (
        .combout(a_o_11),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[11]),
        .datad(a_o_3_Z[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_11_.operation_mode="normal";
defparam a_o_11_.output_mode="comb_only";
defparam a_o_11_.lut_mask="3f0c";
defparam a_o_11_.synch_mode="off";
defparam a_o_11_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_11_ (
        .combout(a_o_a[11]),
        .dataa(VCC),
        .datab(r32_o_11),
        .datac(r32_o_0_11),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_11_.operation_mode="normal";
defparam a_o_a_11_.output_mode="comb_only";
defparam a_o_a_11_.lut_mask="0f33";
defparam a_o_a_11_.synch_mode="off";
defparam a_o_a_11_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_12_ (
        .combout(a_o_12),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[12]),
        .datad(a_o_3_Z[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_12_.operation_mode="normal";
defparam a_o_12_.output_mode="comb_only";
defparam a_o_12_.lut_mask="3f0c";
defparam a_o_12_.synch_mode="off";
defparam a_o_12_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_12_ (
        .combout(a_o_a[12]),
        .dataa(VCC),
        .datab(r32_o_12),
        .datac(r32_o_0_12),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_12_.operation_mode="normal";
defparam a_o_a_12_.output_mode="comb_only";
defparam a_o_a_12_.lut_mask="0f33";
defparam a_o_a_12_.synch_mode="off";
defparam a_o_a_12_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_13_ (
        .combout(a_o_13),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[13]),
        .datad(a_o_3_Z[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_13_.operation_mode="normal";
defparam a_o_13_.output_mode="comb_only";
defparam a_o_13_.lut_mask="3f0c";
defparam a_o_13_.synch_mode="off";
defparam a_o_13_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_13_ (
        .combout(a_o_a[13]),
        .dataa(VCC),
        .datab(r32_o_13),
        .datac(r32_o_0_13),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_13_.operation_mode="normal";
defparam a_o_a_13_.output_mode="comb_only";
defparam a_o_a_13_.lut_mask="0f33";
defparam a_o_a_13_.synch_mode="off";
defparam a_o_a_13_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_14_ (
        .combout(a_o_14),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[14]),
        .datad(a_o_3_Z[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_14_.operation_mode="normal";
defparam a_o_14_.output_mode="comb_only";
defparam a_o_14_.lut_mask="3f0c";
defparam a_o_14_.synch_mode="off";
defparam a_o_14_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_14_ (
        .combout(a_o_a[14]),
        .dataa(VCC),
        .datab(r32_o_14),
        .datac(r32_o_0_14),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_14_.operation_mode="normal";
defparam a_o_a_14_.output_mode="comb_only";
defparam a_o_a_14_.lut_mask="0f33";
defparam a_o_a_14_.synch_mode="off";
defparam a_o_a_14_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_15_ (
        .combout(a_o_15),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[15]),
        .datad(a_o_3_Z[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_15_.operation_mode="normal";
defparam a_o_15_.output_mode="comb_only";
defparam a_o_15_.lut_mask="3f0c";
defparam a_o_15_.synch_mode="off";
defparam a_o_15_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_15_ (
        .combout(a_o_a[15]),
        .dataa(VCC),
        .datab(r32_o_15),
        .datac(r32_o_0_15),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_15_.operation_mode="normal";
defparam a_o_a_15_.output_mode="comb_only";
defparam a_o_a_15_.lut_mask="0f33";
defparam a_o_a_15_.synch_mode="off";
defparam a_o_a_15_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_17_ (
        .combout(a_o_17),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[17]),
        .datad(a_o_3_Z[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_17_.operation_mode="normal";
defparam a_o_17_.output_mode="comb_only";
defparam a_o_17_.lut_mask="3f0c";
defparam a_o_17_.synch_mode="off";
defparam a_o_17_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_17_ (
        .combout(a_o_a[17]),
        .dataa(VCC),
        .datab(r32_o_17),
        .datac(r32_o_0_17),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_17_.operation_mode="normal";
defparam a_o_a_17_.output_mode="comb_only";
defparam a_o_a_17_.lut_mask="0f33";
defparam a_o_a_17_.synch_mode="off";
defparam a_o_a_17_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_18_ (
        .combout(a_o_18),
        .dataa(muxa_ctl_o_0),
        .datab(muxa_ctl_o_1),
        .datac(a_o_a[18]),
        .datad(a_o_3_d[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_18_.operation_mode="normal";
defparam a_o_18_.output_mode="comb_only";
defparam a_o_18_.lut_mask="4f0b";
defparam a_o_18_.synch_mode="off";
defparam a_o_18_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_18_ (
        .combout(a_o_a[18]),
        .dataa(VCC),
        .datab(r32_o_18),
        .datac(muxa_ctl_o_0),
        .datad(a_o_0[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_18_.operation_mode="normal";
defparam a_o_a_18_.output_mode="comb_only";
defparam a_o_a_18_.lut_mask="03f3";
defparam a_o_a_18_.synch_mode="off";
defparam a_o_a_18_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_21_ (
        .combout(a_o_21),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[21]),
        .datad(a_o_3_Z[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_21_.operation_mode="normal";
defparam a_o_21_.output_mode="comb_only";
defparam a_o_21_.lut_mask="3f0c";
defparam a_o_21_.synch_mode="off";
defparam a_o_21_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_21_ (
        .combout(a_o_a[21]),
        .dataa(VCC),
        .datab(r32_o_21),
        .datac(r32_o_0_21),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_21_.operation_mode="normal";
defparam a_o_a_21_.output_mode="comb_only";
defparam a_o_a_21_.lut_mask="0f33";
defparam a_o_a_21_.synch_mode="off";
defparam a_o_a_21_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_22_ (
        .combout(a_o_22),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[22]),
        .datad(a_o_3_Z[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_22_.operation_mode="normal";
defparam a_o_22_.output_mode="comb_only";
defparam a_o_22_.lut_mask="3f0c";
defparam a_o_22_.synch_mode="off";
defparam a_o_22_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_22_ (
        .combout(a_o_a[22]),
        .dataa(VCC),
        .datab(r32_o_22),
        .datac(r32_o_0_22),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_22_.operation_mode="normal";
defparam a_o_a_22_.output_mode="comb_only";
defparam a_o_a_22_.lut_mask="0f33";
defparam a_o_a_22_.synch_mode="off";
defparam a_o_a_22_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_24_ (
        .combout(a_o_24),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[24]),
        .datad(a_o_3_Z[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_24_.operation_mode="normal";
defparam a_o_24_.output_mode="comb_only";
defparam a_o_24_.lut_mask="3f0c";
defparam a_o_24_.synch_mode="off";
defparam a_o_24_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_24_ (
        .combout(a_o_a[24]),
        .dataa(VCC),
        .datab(r32_o_24),
        .datac(r32_o_0_24),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_24_.operation_mode="normal";
defparam a_o_a_24_.output_mode="comb_only";
defparam a_o_a_24_.lut_mask="0f33";
defparam a_o_a_24_.synch_mode="off";
defparam a_o_a_24_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_25_ (
        .combout(a_o_25),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[25]),
        .datad(a_o_3_Z[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_25_.operation_mode="normal";
defparam a_o_25_.output_mode="comb_only";
defparam a_o_25_.lut_mask="3f0c";
defparam a_o_25_.synch_mode="off";
defparam a_o_25_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_25_ (
        .combout(a_o_a[25]),
        .dataa(VCC),
        .datab(r32_o_25),
        .datac(r32_o_0_25),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_25_.operation_mode="normal";
defparam a_o_a_25_.output_mode="comb_only";
defparam a_o_a_25_.lut_mask="0f33";
defparam a_o_a_25_.synch_mode="off";
defparam a_o_a_25_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_26_ (
        .combout(a_o_26),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[26]),
        .datad(a_o_3_Z[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_26_.operation_mode="normal";
defparam a_o_26_.output_mode="comb_only";
defparam a_o_26_.lut_mask="3f0c";
defparam a_o_26_.synch_mode="off";
defparam a_o_26_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_26_ (
        .combout(a_o_a[26]),
        .dataa(VCC),
        .datab(r32_o_26),
        .datac(r32_o_0_26),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_26_.operation_mode="normal";
defparam a_o_a_26_.output_mode="comb_only";
defparam a_o_a_26_.lut_mask="0f33";
defparam a_o_a_26_.synch_mode="off";
defparam a_o_a_26_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_27_ (
        .combout(a_o_27),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[27]),
        .datad(a_o_3_Z[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_27_.operation_mode="normal";
defparam a_o_27_.output_mode="comb_only";
defparam a_o_27_.lut_mask="3f0c";
defparam a_o_27_.synch_mode="off";
defparam a_o_27_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_27_ (
        .combout(a_o_a[27]),
        .dataa(VCC),
        .datab(r32_o_27),
        .datac(r32_o_0_27),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_27_.operation_mode="normal";
defparam a_o_a_27_.output_mode="comb_only";
defparam a_o_a_27_.lut_mask="0f33";
defparam a_o_a_27_.synch_mode="off";
defparam a_o_a_27_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_28_ (
        .combout(a_o_28),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[28]),
        .datad(a_o_3_Z[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_28_.operation_mode="normal";
defparam a_o_28_.output_mode="comb_only";
defparam a_o_28_.lut_mask="3f0c";
defparam a_o_28_.synch_mode="off";
defparam a_o_28_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_28_ (
        .combout(a_o_a[28]),
        .dataa(VCC),
        .datab(r32_o_28),
        .datac(r32_o_0_28),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_28_.operation_mode="normal";
defparam a_o_a_28_.output_mode="comb_only";
defparam a_o_a_28_.lut_mask="0f33";
defparam a_o_a_28_.synch_mode="off";
defparam a_o_a_28_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_29_ (
        .combout(a_o_29),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[29]),
        .datad(a_o_3_Z[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_29_.operation_mode="normal";
defparam a_o_29_.output_mode="comb_only";
defparam a_o_29_.lut_mask="3f0c";
defparam a_o_29_.synch_mode="off";
defparam a_o_29_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_29_ (
        .combout(a_o_a[29]),
        .dataa(VCC),
        .datab(r32_o_29),
        .datac(r32_o_0_29),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_29_.operation_mode="normal";
defparam a_o_a_29_.output_mode="comb_only";
defparam a_o_a_29_.lut_mask="0f33";
defparam a_o_a_29_.synch_mode="off";
defparam a_o_a_29_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_30_ (
        .combout(a_o_30),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[30]),
        .datad(a_o_3_Z[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_30_.operation_mode="normal";
defparam a_o_30_.output_mode="comb_only";
defparam a_o_30_.lut_mask="3f0c";
defparam a_o_30_.synch_mode="off";
defparam a_o_30_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_30_ (
        .combout(a_o_a[30]),
        .dataa(VCC),
        .datab(r32_o_30),
        .datac(r32_o_0_30),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_30_.operation_mode="normal";
defparam a_o_a_30_.output_mode="comb_only";
defparam a_o_a_30_.lut_mask="0f33";
defparam a_o_a_30_.synch_mode="off";
defparam a_o_a_30_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_31_ (
        .combout(a_o_31),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[31]),
        .datad(a_o_3_Z[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_31_.operation_mode="normal";
defparam a_o_31_.output_mode="comb_only";
defparam a_o_31_.lut_mask="3f0c";
defparam a_o_31_.synch_mode="off";
defparam a_o_31_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_31_ (
        .combout(a_o_a[31]),
        .dataa(VCC),
        .datab(r32_o_31),
        .datac(r32_o_0_31),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_31_.operation_mode="normal";
defparam a_o_a_31_.output_mode="comb_only";
defparam a_o_a_31_.lut_mask="0f33";
defparam a_o_a_31_.synch_mode="off";
defparam a_o_a_31_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_20_ (
        .combout(a_o_20),
        .dataa(VCC),
        .datab(muxa_ctl_o_0),
        .datac(a_o_a[20]),
        .datad(a_o_3_Z[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_20_.operation_mode="normal";
defparam a_o_20_.output_mode="comb_only";
defparam a_o_20_.lut_mask="3f0c";
defparam a_o_20_.synch_mode="off";
defparam a_o_20_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_a_20_ (
        .combout(a_o_a[20]),
        .dataa(VCC),
        .datab(r32_o_20),
        .datac(r32_o_0_20),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_a_20_.operation_mode="normal";
defparam a_o_a_20_.output_mode="comb_only";
defparam a_o_a_20_.lut_mask="0f33";
defparam a_o_a_20_.synch_mode="off";
defparam a_o_a_20_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_0_23_ (
        .combout(a_o_i_0_Z[23]),
        .dataa(r32_o_1_23),
        .datab(muxa_ctl_o_0),
        .datac(muxa_ctl_o_1),
        .datad(a_o_i_0_a[23]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_0_23_.operation_mode="normal";
defparam a_o_i_0_23_.output_mode="comb_only";
defparam a_o_i_0_23_.lut_mask="4f40";
defparam a_o_i_0_23_.synch_mode="off";
defparam a_o_i_0_23_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_0_a_23_ (
        .combout(a_o_i_0_a[23]),
        .dataa(VCC),
        .datab(r32_o_2_21),
        .datac(r32_o_3_21),
        .datad(muxa_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_0_a_23_.operation_mode="normal";
defparam a_o_i_0_a_23_.output_mode="comb_only";
defparam a_o_i_0_a_23_.lut_mask="330f";
defparam a_o_i_0_a_23_.synch_mode="off";
defparam a_o_i_0_a_23_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_0_16_ (
        .combout(a_o_i_0_Z[16]),
        .dataa(r32_o_1_16),
        .datab(muxa_ctl_o_0),
        .datac(muxa_ctl_o_1),
        .datad(a_o_i_0_a[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_0_16_.operation_mode="normal";
defparam a_o_i_0_16_.output_mode="comb_only";
defparam a_o_i_0_16_.lut_mask="4f40";
defparam a_o_i_0_16_.synch_mode="off";
defparam a_o_i_0_16_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_0_a_16_ (
        .combout(a_o_i_0_a[16]),
        .dataa(VCC),
        .datab(r32_o_2_14),
        .datac(r32_o_3_14),
        .datad(muxa_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_0_a_16_.operation_mode="normal";
defparam a_o_i_0_a_16_.output_mode="comb_only";
defparam a_o_i_0_a_16_.lut_mask="330f";
defparam a_o_i_0_a_16_.synch_mode="off";
defparam a_o_i_0_a_16_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_0_19_ (
        .combout(a_o_i_0_Z[19]),
        .dataa(r32_o_1_19),
        .datab(muxa_ctl_o_0),
        .datac(muxa_ctl_o_1),
        .datad(a_o_i_0_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_0_19_.operation_mode="normal";
defparam a_o_i_0_19_.output_mode="comb_only";
defparam a_o_i_0_19_.lut_mask="4f40";
defparam a_o_i_0_19_.synch_mode="off";
defparam a_o_i_0_19_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_0_a_19_ (
        .combout(a_o_i_0_a[19]),
        .dataa(VCC),
        .datab(r32_o_2_17),
        .datac(r32_o_3_17),
        .datad(muxa_ctl_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_0_a_19_.operation_mode="normal";
defparam a_o_i_0_a_19_.output_mode="comb_only";
defparam a_o_i_0_a_19_.lut_mask="330f";
defparam a_o_i_0_a_19_.synch_mode="off";
defparam a_o_i_0_a_19_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_5_ (
        .combout(a_o_3_Z[5]),
        .dataa(VCC),
        .datab(r32_o_1_5),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_5_.operation_mode="normal";
defparam a_o_3_5_.output_mode="comb_only";
defparam a_o_3_5_.lut_mask="fc0c";
defparam a_o_3_5_.synch_mode="off";
defparam a_o_3_5_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_6_ (
        .combout(a_o_3_Z[6]),
        .dataa(VCC),
        .datab(r32_o_1_6),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_6_.operation_mode="normal";
defparam a_o_3_6_.output_mode="comb_only";
defparam a_o_3_6_.lut_mask="fc0c";
defparam a_o_3_6_.synch_mode="off";
defparam a_o_3_6_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_7_ (
        .combout(a_o_3_Z[7]),
        .dataa(VCC),
        .datab(r32_o_1_7),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_7_.operation_mode="normal";
defparam a_o_3_7_.output_mode="comb_only";
defparam a_o_3_7_.lut_mask="fc0c";
defparam a_o_3_7_.synch_mode="off";
defparam a_o_3_7_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_8_ (
        .combout(a_o_3_Z[8]),
        .dataa(VCC),
        .datab(r32_o_1_8),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_8_.operation_mode="normal";
defparam a_o_3_8_.output_mode="comb_only";
defparam a_o_3_8_.lut_mask="fc0c";
defparam a_o_3_8_.synch_mode="off";
defparam a_o_3_8_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_9_ (
        .combout(a_o_3_Z[9]),
        .dataa(VCC),
        .datab(r32_o_1_9),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_9_.operation_mode="normal";
defparam a_o_3_9_.output_mode="comb_only";
defparam a_o_3_9_.lut_mask="fc0c";
defparam a_o_3_9_.synch_mode="off";
defparam a_o_3_9_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_10_ (
        .combout(a_o_3_Z[10]),
        .dataa(VCC),
        .datab(r32_o_1_10),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_10_.operation_mode="normal";
defparam a_o_3_10_.output_mode="comb_only";
defparam a_o_3_10_.lut_mask="fc0c";
defparam a_o_3_10_.synch_mode="off";
defparam a_o_3_10_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_11_ (
        .combout(a_o_3_Z[11]),
        .dataa(VCC),
        .datab(r32_o_1_11),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_11_.operation_mode="normal";
defparam a_o_3_11_.output_mode="comb_only";
defparam a_o_3_11_.lut_mask="fc0c";
defparam a_o_3_11_.synch_mode="off";
defparam a_o_3_11_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_12_ (
        .combout(a_o_3_Z[12]),
        .dataa(VCC),
        .datab(r32_o_1_12),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_12_.operation_mode="normal";
defparam a_o_3_12_.output_mode="comb_only";
defparam a_o_3_12_.lut_mask="fc0c";
defparam a_o_3_12_.synch_mode="off";
defparam a_o_3_12_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_13_ (
        .combout(a_o_3_Z[13]),
        .dataa(VCC),
        .datab(r32_o_1_13),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_13_.operation_mode="normal";
defparam a_o_3_13_.output_mode="comb_only";
defparam a_o_3_13_.lut_mask="fc0c";
defparam a_o_3_13_.synch_mode="off";
defparam a_o_3_13_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_14_ (
        .combout(a_o_3_Z[14]),
        .dataa(VCC),
        .datab(r32_o_1_14),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_14_.operation_mode="normal";
defparam a_o_3_14_.output_mode="comb_only";
defparam a_o_3_14_.lut_mask="fc0c";
defparam a_o_3_14_.synch_mode="off";
defparam a_o_3_14_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_15_ (
        .combout(a_o_3_Z[15]),
        .dataa(VCC),
        .datab(r32_o_1_15),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_15_.operation_mode="normal";
defparam a_o_3_15_.output_mode="comb_only";
defparam a_o_3_15_.lut_mask="fc0c";
defparam a_o_3_15_.synch_mode="off";
defparam a_o_3_15_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_17_ (
        .combout(a_o_3_Z[17]),
        .dataa(VCC),
        .datab(r32_o_1_17),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_17_.operation_mode="normal";
defparam a_o_3_17_.output_mode="comb_only";
defparam a_o_3_17_.lut_mask="fc0c";
defparam a_o_3_17_.synch_mode="off";
defparam a_o_3_17_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_20_ (
        .combout(a_o_3_Z[20]),
        .dataa(VCC),
        .datab(r32_o_1_20),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_20_.operation_mode="normal";
defparam a_o_3_20_.output_mode="comb_only";
defparam a_o_3_20_.lut_mask="fc0c";
defparam a_o_3_20_.synch_mode="off";
defparam a_o_3_20_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_21_ (
        .combout(a_o_3_Z[21]),
        .dataa(VCC),
        .datab(r32_o_1_21),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_21_.operation_mode="normal";
defparam a_o_3_21_.output_mode="comb_only";
defparam a_o_3_21_.lut_mask="fc0c";
defparam a_o_3_21_.synch_mode="off";
defparam a_o_3_21_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_22_ (
        .combout(a_o_3_Z[22]),
        .dataa(VCC),
        .datab(r32_o_1_22),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_22_.operation_mode="normal";
defparam a_o_3_22_.output_mode="comb_only";
defparam a_o_3_22_.lut_mask="fc0c";
defparam a_o_3_22_.synch_mode="off";
defparam a_o_3_22_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_24_ (
        .combout(a_o_3_Z[24]),
        .dataa(VCC),
        .datab(r32_o_1_24),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_24_.operation_mode="normal";
defparam a_o_3_24_.output_mode="comb_only";
defparam a_o_3_24_.lut_mask="fc0c";
defparam a_o_3_24_.synch_mode="off";
defparam a_o_3_24_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_25_ (
        .combout(a_o_3_Z[25]),
        .dataa(VCC),
        .datab(r32_o_1_25),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_25_.operation_mode="normal";
defparam a_o_3_25_.output_mode="comb_only";
defparam a_o_3_25_.lut_mask="fc0c";
defparam a_o_3_25_.synch_mode="off";
defparam a_o_3_25_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_26_ (
        .combout(a_o_3_Z[26]),
        .dataa(VCC),
        .datab(r32_o_1_26),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_26_.operation_mode="normal";
defparam a_o_3_26_.output_mode="comb_only";
defparam a_o_3_26_.lut_mask="fc0c";
defparam a_o_3_26_.synch_mode="off";
defparam a_o_3_26_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_27_ (
        .combout(a_o_3_Z[27]),
        .dataa(VCC),
        .datab(r32_o_1_27),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_27_.operation_mode="normal";
defparam a_o_3_27_.output_mode="comb_only";
defparam a_o_3_27_.lut_mask="fc0c";
defparam a_o_3_27_.synch_mode="off";
defparam a_o_3_27_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_28_ (
        .combout(a_o_3_Z[28]),
        .dataa(VCC),
        .datab(r32_o_1_28),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_28_.operation_mode="normal";
defparam a_o_3_28_.output_mode="comb_only";
defparam a_o_3_28_.lut_mask="fc0c";
defparam a_o_3_28_.synch_mode="off";
defparam a_o_3_28_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_29_ (
        .combout(a_o_3_Z[29]),
        .dataa(VCC),
        .datab(r32_o_1_29),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_29_.operation_mode="normal";
defparam a_o_3_29_.output_mode="comb_only";
defparam a_o_3_29_.lut_mask="fc0c";
defparam a_o_3_29_.synch_mode="off";
defparam a_o_3_29_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_30_ (
        .combout(a_o_3_Z[30]),
        .dataa(VCC),
        .datab(r32_o_1_30),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_30_.operation_mode="normal";
defparam a_o_3_30_.output_mode="comb_only";
defparam a_o_3_30_.lut_mask="fc0c";
defparam a_o_3_30_.synch_mode="off";
defparam a_o_3_30_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_31_ (
        .combout(a_o_3_Z[31]),
        .dataa(VCC),
        .datab(r32_o_1_31),
        .datac(muxa_ctl_o_1),
        .datad(a_o_3_d[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_31_.operation_mode="normal";
defparam a_o_3_31_.output_mode="comb_only";
defparam a_o_3_31_.lut_mask="fc0c";
defparam a_o_3_31_.synch_mode="off";
defparam a_o_3_31_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_0_ (
        .combout(a_o_3_d[0]),
        .dataa(wb_o_0),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_0_.operation_mode="normal";
defparam a_o_3_d_0_.output_mode="comb_only";
defparam a_o_3_d_0_.lut_mask="80bf";
defparam a_o_3_d_0_.synch_mode="off";
defparam a_o_3_d_0_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_0_ (
        .combout(a_o_3_d_a[0]),
        .dataa(VCC),
        .datab(r32_o_0_0),
        .datac(r32_o_1_0),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_0_.operation_mode="normal";
defparam a_o_3_d_a_0_.output_mode="comb_only";
defparam a_o_3_d_a_0_.lut_mask="330f";
defparam a_o_3_d_a_0_.synch_mode="off";
defparam a_o_3_d_a_0_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_1_ (
        .combout(a_o_3_d[1]),
        .dataa(wb_o_1),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_1_.operation_mode="normal";
defparam a_o_3_d_1_.output_mode="comb_only";
defparam a_o_3_d_1_.lut_mask="80bf";
defparam a_o_3_d_1_.synch_mode="off";
defparam a_o_3_d_1_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_1_ (
        .combout(a_o_3_d_a[1]),
        .dataa(VCC),
        .datab(r32_o_0_1),
        .datac(r32_o_1_1),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_1_.operation_mode="normal";
defparam a_o_3_d_a_1_.output_mode="comb_only";
defparam a_o_3_d_a_1_.lut_mask="330f";
defparam a_o_3_d_a_1_.synch_mode="off";
defparam a_o_3_d_a_1_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_2_ (
        .combout(a_o_3_d[2]),
        .dataa(wb_o_2),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_2_.operation_mode="normal";
defparam a_o_3_d_2_.output_mode="comb_only";
defparam a_o_3_d_2_.lut_mask="80bf";
defparam a_o_3_d_2_.synch_mode="off";
defparam a_o_3_d_2_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_2_ (
        .combout(a_o_3_d_a[2]),
        .dataa(VCC),
        .datab(r32_o_0_2),
        .datac(r32_o_1_2),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_2_.operation_mode="normal";
defparam a_o_3_d_a_2_.output_mode="comb_only";
defparam a_o_3_d_a_2_.lut_mask="330f";
defparam a_o_3_d_a_2_.synch_mode="off";
defparam a_o_3_d_a_2_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_3_ (
        .combout(a_o_3_d[3]),
        .dataa(wb_o_3),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_3_.operation_mode="normal";
defparam a_o_3_d_3_.output_mode="comb_only";
defparam a_o_3_d_3_.lut_mask="80bf";
defparam a_o_3_d_3_.synch_mode="off";
defparam a_o_3_d_3_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_3_ (
        .combout(a_o_3_d_a[3]),
        .dataa(VCC),
        .datab(r32_o_0_3),
        .datac(r32_o_1_3),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_3_.operation_mode="normal";
defparam a_o_3_d_a_3_.output_mode="comb_only";
defparam a_o_3_d_a_3_.lut_mask="330f";
defparam a_o_3_d_a_3_.synch_mode="off";
defparam a_o_3_d_a_3_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_4_ (
        .combout(a_o_3_d[4]),
        .dataa(wb_o_4),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_4_.operation_mode="normal";
defparam a_o_3_d_4_.output_mode="comb_only";
defparam a_o_3_d_4_.lut_mask="80bf";
defparam a_o_3_d_4_.synch_mode="off";
defparam a_o_3_d_4_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_4_ (
        .combout(a_o_3_d_a[4]),
        .dataa(VCC),
        .datab(r32_o_0_4),
        .datac(r32_o_1_4),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_4_.operation_mode="normal";
defparam a_o_3_d_a_4_.output_mode="comb_only";
defparam a_o_3_d_a_4_.lut_mask="330f";
defparam a_o_3_d_a_4_.synch_mode="off";
defparam a_o_3_d_a_4_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_5_ (
        .combout(a_o_3_d[5]),
        .dataa(wb_o_5),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_5_.operation_mode="normal";
defparam a_o_3_d_5_.output_mode="comb_only";
defparam a_o_3_d_5_.lut_mask="80bf";
defparam a_o_3_d_5_.synch_mode="off";
defparam a_o_3_d_5_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_5_ (
        .combout(a_o_3_d_a[5]),
        .dataa(VCC),
        .datab(r32_o_2_3),
        .datac(r32_o_3_3),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_5_.operation_mode="normal";
defparam a_o_3_d_a_5_.output_mode="comb_only";
defparam a_o_3_d_a_5_.lut_mask="330f";
defparam a_o_3_d_a_5_.synch_mode="off";
defparam a_o_3_d_a_5_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_6_ (
        .combout(a_o_3_d[6]),
        .dataa(wb_o_6),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_6_.operation_mode="normal";
defparam a_o_3_d_6_.output_mode="comb_only";
defparam a_o_3_d_6_.lut_mask="80bf";
defparam a_o_3_d_6_.synch_mode="off";
defparam a_o_3_d_6_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_6_ (
        .combout(a_o_3_d_a[6]),
        .dataa(VCC),
        .datab(r32_o_2_4),
        .datac(r32_o_3_4),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_6_.operation_mode="normal";
defparam a_o_3_d_a_6_.output_mode="comb_only";
defparam a_o_3_d_a_6_.lut_mask="330f";
defparam a_o_3_d_a_6_.synch_mode="off";
defparam a_o_3_d_a_6_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_7_ (
        .combout(a_o_3_d[7]),
        .dataa(wb_o_7),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_7_.operation_mode="normal";
defparam a_o_3_d_7_.output_mode="comb_only";
defparam a_o_3_d_7_.lut_mask="80bf";
defparam a_o_3_d_7_.synch_mode="off";
defparam a_o_3_d_7_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_7_ (
        .combout(a_o_3_d_a[7]),
        .dataa(VCC),
        .datab(r32_o_2_5),
        .datac(r32_o_3_5),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_7_.operation_mode="normal";
defparam a_o_3_d_a_7_.output_mode="comb_only";
defparam a_o_3_d_a_7_.lut_mask="330f";
defparam a_o_3_d_a_7_.synch_mode="off";
defparam a_o_3_d_a_7_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_8_ (
        .combout(a_o_3_d[8]),
        .dataa(wb_o_8),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_8_.operation_mode="normal";
defparam a_o_3_d_8_.output_mode="comb_only";
defparam a_o_3_d_8_.lut_mask="80bf";
defparam a_o_3_d_8_.synch_mode="off";
defparam a_o_3_d_8_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_8_ (
        .combout(a_o_3_d_a[8]),
        .dataa(VCC),
        .datab(r32_o_2_6),
        .datac(r32_o_3_6),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_8_.operation_mode="normal";
defparam a_o_3_d_a_8_.output_mode="comb_only";
defparam a_o_3_d_a_8_.lut_mask="330f";
defparam a_o_3_d_a_8_.synch_mode="off";
defparam a_o_3_d_a_8_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_9_ (
        .combout(a_o_3_d[9]),
        .dataa(wb_o_9),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_9_.operation_mode="normal";
defparam a_o_3_d_9_.output_mode="comb_only";
defparam a_o_3_d_9_.lut_mask="80bf";
defparam a_o_3_d_9_.synch_mode="off";
defparam a_o_3_d_9_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_9_ (
        .combout(a_o_3_d_a[9]),
        .dataa(VCC),
        .datab(r32_o_2_7),
        .datac(r32_o_3_7),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_9_.operation_mode="normal";
defparam a_o_3_d_a_9_.output_mode="comb_only";
defparam a_o_3_d_a_9_.lut_mask="330f";
defparam a_o_3_d_a_9_.synch_mode="off";
defparam a_o_3_d_a_9_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_10_ (
        .combout(a_o_3_d[10]),
        .dataa(wb_o_10),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_10_.operation_mode="normal";
defparam a_o_3_d_10_.output_mode="comb_only";
defparam a_o_3_d_10_.lut_mask="80bf";
defparam a_o_3_d_10_.synch_mode="off";
defparam a_o_3_d_10_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_10_ (
        .combout(a_o_3_d_a[10]),
        .dataa(VCC),
        .datab(r32_o_2_8),
        .datac(r32_o_3_8),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_10_.operation_mode="normal";
defparam a_o_3_d_a_10_.output_mode="comb_only";
defparam a_o_3_d_a_10_.lut_mask="330f";
defparam a_o_3_d_a_10_.synch_mode="off";
defparam a_o_3_d_a_10_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_11_ (
        .combout(a_o_3_d[11]),
        .dataa(wb_o_11),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_11_.operation_mode="normal";
defparam a_o_3_d_11_.output_mode="comb_only";
defparam a_o_3_d_11_.lut_mask="80bf";
defparam a_o_3_d_11_.synch_mode="off";
defparam a_o_3_d_11_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_11_ (
        .combout(a_o_3_d_a[11]),
        .dataa(VCC),
        .datab(r32_o_2_9),
        .datac(r32_o_3_9),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_11_.operation_mode="normal";
defparam a_o_3_d_a_11_.output_mode="comb_only";
defparam a_o_3_d_a_11_.lut_mask="330f";
defparam a_o_3_d_a_11_.synch_mode="off";
defparam a_o_3_d_a_11_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_12_ (
        .combout(a_o_3_d[12]),
        .dataa(wb_o_12),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_12_.operation_mode="normal";
defparam a_o_3_d_12_.output_mode="comb_only";
defparam a_o_3_d_12_.lut_mask="80bf";
defparam a_o_3_d_12_.synch_mode="off";
defparam a_o_3_d_12_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_12_ (
        .combout(a_o_3_d_a[12]),
        .dataa(VCC),
        .datab(r32_o_2_10),
        .datac(r32_o_3_10),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_12_.operation_mode="normal";
defparam a_o_3_d_a_12_.output_mode="comb_only";
defparam a_o_3_d_a_12_.lut_mask="330f";
defparam a_o_3_d_a_12_.synch_mode="off";
defparam a_o_3_d_a_12_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_13_ (
        .combout(a_o_3_d[13]),
        .dataa(wb_o_13),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_13_.operation_mode="normal";
defparam a_o_3_d_13_.output_mode="comb_only";
defparam a_o_3_d_13_.lut_mask="80bf";
defparam a_o_3_d_13_.synch_mode="off";
defparam a_o_3_d_13_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_13_ (
        .combout(a_o_3_d_a[13]),
        .dataa(VCC),
        .datab(r32_o_2_11),
        .datac(r32_o_3_11),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_13_.operation_mode="normal";
defparam a_o_3_d_a_13_.output_mode="comb_only";
defparam a_o_3_d_a_13_.lut_mask="330f";
defparam a_o_3_d_a_13_.synch_mode="off";
defparam a_o_3_d_a_13_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_14_ (
        .combout(a_o_3_d[14]),
        .dataa(wb_o_14),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_14_.operation_mode="normal";
defparam a_o_3_d_14_.output_mode="comb_only";
defparam a_o_3_d_14_.lut_mask="80bf";
defparam a_o_3_d_14_.synch_mode="off";
defparam a_o_3_d_14_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_14_ (
        .combout(a_o_3_d_a[14]),
        .dataa(VCC),
        .datab(r32_o_2_12),
        .datac(r32_o_3_12),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_14_.operation_mode="normal";
defparam a_o_3_d_a_14_.output_mode="comb_only";
defparam a_o_3_d_a_14_.lut_mask="330f";
defparam a_o_3_d_a_14_.synch_mode="off";
defparam a_o_3_d_a_14_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_15_ (
        .combout(a_o_3_d[15]),
        .dataa(wb_o_15),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_15_.operation_mode="normal";
defparam a_o_3_d_15_.output_mode="comb_only";
defparam a_o_3_d_15_.lut_mask="80bf";
defparam a_o_3_d_15_.synch_mode="off";
defparam a_o_3_d_15_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_15_ (
        .combout(a_o_3_d_a[15]),
        .dataa(VCC),
        .datab(r32_o_2_13),
        .datac(r32_o_3_13),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_15_.operation_mode="normal";
defparam a_o_3_d_a_15_.output_mode="comb_only";
defparam a_o_3_d_a_15_.lut_mask="330f";
defparam a_o_3_d_a_15_.synch_mode="off";
defparam a_o_3_d_a_15_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_17_ (
        .combout(a_o_3_d[17]),
        .dataa(wb_o_17),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_17_.operation_mode="normal";
defparam a_o_3_d_17_.output_mode="comb_only";
defparam a_o_3_d_17_.lut_mask="80bf";
defparam a_o_3_d_17_.synch_mode="off";
defparam a_o_3_d_17_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_17_ (
        .combout(a_o_3_d_a[17]),
        .dataa(VCC),
        .datab(r32_o_2_15),
        .datac(r32_o_3_15),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_17_.operation_mode="normal";
defparam a_o_3_d_a_17_.output_mode="comb_only";
defparam a_o_3_d_a_17_.lut_mask="330f";
defparam a_o_3_d_a_17_.synch_mode="off";
defparam a_o_3_d_a_17_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_18_ (
        .combout(a_o_3_d[18]),
        .dataa(wb_o_18),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_18_.operation_mode="normal";
defparam a_o_3_d_18_.output_mode="comb_only";
defparam a_o_3_d_18_.lut_mask="80bf";
defparam a_o_3_d_18_.synch_mode="off";
defparam a_o_3_d_18_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_18_ (
        .combout(a_o_3_d_a[18]),
        .dataa(VCC),
        .datab(r32_o_0_18),
        .datac(r32_o_1_18),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_18_.operation_mode="normal";
defparam a_o_3_d_a_18_.output_mode="comb_only";
defparam a_o_3_d_a_18_.lut_mask="330f";
defparam a_o_3_d_a_18_.synch_mode="off";
defparam a_o_3_d_a_18_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_20_ (
        .combout(a_o_3_d[20]),
        .dataa(wb_o_20),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_20_.operation_mode="normal";
defparam a_o_3_d_20_.output_mode="comb_only";
defparam a_o_3_d_20_.lut_mask="80bf";
defparam a_o_3_d_20_.synch_mode="off";
defparam a_o_3_d_20_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_20_ (
        .combout(a_o_3_d_a[20]),
        .dataa(VCC),
        .datab(r32_o_2_18),
        .datac(r32_o_3_18),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_20_.operation_mode="normal";
defparam a_o_3_d_a_20_.output_mode="comb_only";
defparam a_o_3_d_a_20_.lut_mask="330f";
defparam a_o_3_d_a_20_.synch_mode="off";
defparam a_o_3_d_a_20_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_21_ (
        .combout(a_o_3_d[21]),
        .dataa(wb_o_21),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[21]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_21_.operation_mode="normal";
defparam a_o_3_d_21_.output_mode="comb_only";
defparam a_o_3_d_21_.lut_mask="80bf";
defparam a_o_3_d_21_.synch_mode="off";
defparam a_o_3_d_21_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_21_ (
        .combout(a_o_3_d_a[21]),
        .dataa(VCC),
        .datab(r32_o_2_19),
        .datac(r32_o_3_19),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_21_.operation_mode="normal";
defparam a_o_3_d_a_21_.output_mode="comb_only";
defparam a_o_3_d_a_21_.lut_mask="330f";
defparam a_o_3_d_a_21_.synch_mode="off";
defparam a_o_3_d_a_21_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_22_ (
        .combout(a_o_3_d[22]),
        .dataa(wb_o_22),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_22_.operation_mode="normal";
defparam a_o_3_d_22_.output_mode="comb_only";
defparam a_o_3_d_22_.lut_mask="80bf";
defparam a_o_3_d_22_.synch_mode="off";
defparam a_o_3_d_22_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_22_ (
        .combout(a_o_3_d_a[22]),
        .dataa(VCC),
        .datab(r32_o_2_20),
        .datac(r32_o_3_20),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_22_.operation_mode="normal";
defparam a_o_3_d_a_22_.output_mode="comb_only";
defparam a_o_3_d_a_22_.lut_mask="330f";
defparam a_o_3_d_a_22_.synch_mode="off";
defparam a_o_3_d_a_22_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_24_ (
        .combout(a_o_3_d[24]),
        .dataa(wb_o_24),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_24_.operation_mode="normal";
defparam a_o_3_d_24_.output_mode="comb_only";
defparam a_o_3_d_24_.lut_mask="80bf";
defparam a_o_3_d_24_.synch_mode="off";
defparam a_o_3_d_24_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_24_ (
        .combout(a_o_3_d_a[24]),
        .dataa(VCC),
        .datab(r32_o_2_22),
        .datac(r32_o_3_22),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_24_.operation_mode="normal";
defparam a_o_3_d_a_24_.output_mode="comb_only";
defparam a_o_3_d_a_24_.lut_mask="330f";
defparam a_o_3_d_a_24_.synch_mode="off";
defparam a_o_3_d_a_24_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_25_ (
        .combout(a_o_3_d[25]),
        .dataa(wb_o_25),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_25_.operation_mode="normal";
defparam a_o_3_d_25_.output_mode="comb_only";
defparam a_o_3_d_25_.lut_mask="80bf";
defparam a_o_3_d_25_.synch_mode="off";
defparam a_o_3_d_25_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_25_ (
        .combout(a_o_3_d_a[25]),
        .dataa(VCC),
        .datab(r32_o_2_23),
        .datac(r32_o_3_23),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_25_.operation_mode="normal";
defparam a_o_3_d_a_25_.output_mode="comb_only";
defparam a_o_3_d_a_25_.lut_mask="330f";
defparam a_o_3_d_a_25_.synch_mode="off";
defparam a_o_3_d_a_25_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_26_ (
        .combout(a_o_3_d[26]),
        .dataa(wb_o_26),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_26_.operation_mode="normal";
defparam a_o_3_d_26_.output_mode="comb_only";
defparam a_o_3_d_26_.lut_mask="80bf";
defparam a_o_3_d_26_.synch_mode="off";
defparam a_o_3_d_26_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_26_ (
        .combout(a_o_3_d_a[26]),
        .dataa(VCC),
        .datab(r32_o_2_24),
        .datac(r32_o_3_24),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_26_.operation_mode="normal";
defparam a_o_3_d_a_26_.output_mode="comb_only";
defparam a_o_3_d_a_26_.lut_mask="330f";
defparam a_o_3_d_a_26_.synch_mode="off";
defparam a_o_3_d_a_26_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_27_ (
        .combout(a_o_3_d[27]),
        .dataa(wb_o_27),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_27_.operation_mode="normal";
defparam a_o_3_d_27_.output_mode="comb_only";
defparam a_o_3_d_27_.lut_mask="80bf";
defparam a_o_3_d_27_.synch_mode="off";
defparam a_o_3_d_27_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_27_ (
        .combout(a_o_3_d_a[27]),
        .dataa(VCC),
        .datab(r32_o_2_25),
        .datac(r32_o_3_25),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_27_.operation_mode="normal";
defparam a_o_3_d_a_27_.output_mode="comb_only";
defparam a_o_3_d_a_27_.lut_mask="330f";
defparam a_o_3_d_a_27_.synch_mode="off";
defparam a_o_3_d_a_27_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_28_ (
        .combout(a_o_3_d[28]),
        .dataa(wb_o_28),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_28_.operation_mode="normal";
defparam a_o_3_d_28_.output_mode="comb_only";
defparam a_o_3_d_28_.lut_mask="80bf";
defparam a_o_3_d_28_.synch_mode="off";
defparam a_o_3_d_28_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_28_ (
        .combout(a_o_3_d_a[28]),
        .dataa(VCC),
        .datab(r32_o_2_26),
        .datac(r32_o_3_26),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_28_.operation_mode="normal";
defparam a_o_3_d_a_28_.output_mode="comb_only";
defparam a_o_3_d_a_28_.lut_mask="330f";
defparam a_o_3_d_a_28_.synch_mode="off";
defparam a_o_3_d_a_28_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_29_ (
        .combout(a_o_3_d[29]),
        .dataa(wb_o_29),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[29]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_29_.operation_mode="normal";
defparam a_o_3_d_29_.output_mode="comb_only";
defparam a_o_3_d_29_.lut_mask="80bf";
defparam a_o_3_d_29_.synch_mode="off";
defparam a_o_3_d_29_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_29_ (
        .combout(a_o_3_d_a[29]),
        .dataa(VCC),
        .datab(r32_o_2_27),
        .datac(r32_o_3_27),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_29_.operation_mode="normal";
defparam a_o_3_d_a_29_.output_mode="comb_only";
defparam a_o_3_d_a_29_.lut_mask="330f";
defparam a_o_3_d_a_29_.synch_mode="off";
defparam a_o_3_d_a_29_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_30_ (
        .combout(a_o_3_d[30]),
        .dataa(wb_o_30),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_30_.operation_mode="normal";
defparam a_o_3_d_30_.output_mode="comb_only";
defparam a_o_3_d_30_.lut_mask="80bf";
defparam a_o_3_d_30_.synch_mode="off";
defparam a_o_3_d_30_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_30_ (
        .combout(a_o_3_d_a[30]),
        .dataa(VCC),
        .datab(r32_o_2_28),
        .datac(r32_o_3_28),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_30_.operation_mode="normal";
defparam a_o_3_d_a_30_.output_mode="comb_only";
defparam a_o_3_d_a_30_.lut_mask="330f";
defparam a_o_3_d_a_30_.synch_mode="off";
defparam a_o_3_d_a_30_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_31_ (
        .combout(a_o_3_d[31]),
        .dataa(wb_o_31),
        .datab(un6_a_o),
        .datac(a_o_sn_m2_0_a2),
        .datad(a_o_3_d_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_31_.operation_mode="normal";
defparam a_o_3_d_31_.output_mode="comb_only";
defparam a_o_3_d_31_.lut_mask="80bf";
defparam a_o_3_d_31_.synch_mode="off";
defparam a_o_3_d_31_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_3_d_a_31_ (
        .combout(a_o_3_d_a[31]),
        .dataa(VCC),
        .datab(r32_o_2_29),
        .datac(r32_o_3_29),
        .datad(a_o_sn_m2_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_3_d_a_31_.operation_mode="normal";
defparam a_o_3_d_a_31_.output_mode="comb_only";
defparam a_o_3_d_a_31_.lut_mask="330f";
defparam a_o_3_d_a_31_.synch_mode="off";
defparam a_o_3_d_a_31_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_0_2_ (
        .combout(a_o_0[2]),
        .dataa(VCC),
        .datab(r32_o_2_0),
        .datac(r32_o_3_0),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_0_2_.operation_mode="normal";
defparam a_o_0_2_.output_mode="comb_only";
defparam a_o_0_2_.lut_mask="ccf0";
defparam a_o_0_2_.synch_mode="off";
defparam a_o_0_2_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_0_3_ (
        .combout(a_o_0[3]),
        .dataa(VCC),
        .datab(r32_o_2_1),
        .datac(r32_o_3_1),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_0_3_.operation_mode="normal";
defparam a_o_0_3_.output_mode="comb_only";
defparam a_o_0_3_.lut_mask="ccf0";
defparam a_o_0_3_.synch_mode="off";
defparam a_o_0_3_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_0_4_ (
        .combout(a_o_0[4]),
        .dataa(VCC),
        .datab(r32_o_2_2),
        .datac(r32_o_3_2),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_0_4_.operation_mode="normal";
defparam a_o_0_4_.output_mode="comb_only";
defparam a_o_0_4_.lut_mask="ccf0";
defparam a_o_0_4_.synch_mode="off";
defparam a_o_0_4_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_0_18_ (
        .combout(a_o_0[18]),
        .dataa(VCC),
        .datab(r32_o_2_16),
        .datac(r32_o_3_16),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_0_18_.operation_mode="normal";
defparam a_o_0_18_.output_mode="comb_only";
defparam a_o_0_18_.lut_mask="ccf0";
defparam a_o_0_18_.synch_mode="off";
defparam a_o_0_18_.sum_lutc_input="datac";
// @5:207
  cyclone_lcell a_o_i_a2_6_16_ (
        .combout(a_o_i_a2_6[16]),
        .dataa(VCC),
        .datab(VCC),
        .datac(muxa_ctl_o_0),
        .datad(muxa_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam a_o_i_a2_6_16_.operation_mode="normal";
defparam a_o_i_a2_6_16_.output_mode="comb_only";
defparam a_o_i_a2_6_16_.lut_mask="0f00";
defparam a_o_i_a2_6_16_.synch_mode="off";
defparam a_o_i_a2_6_16_.sum_lutc_input="datac";
endmodule /* alu_muxa */

// VQM4.1+ 
module alu_muxb (
  r32_o_0,
  b_o_iv_0_a5_0_0,
  wb_o_15,
  wb_o_0,
  b_o_iv_0_a5_15,
  b_o_iv_0_a5_0_d0,
  muxb_ctl_o_0,
  muxb_ctl_o_1,
  b_o18,
  b_o_1_sqmuxa,
  b_o_0_sqmuxa,
  dout7,
  mux_fw_1,
  un1_b_o18_2
);
input r32_o_0 ;
output b_o_iv_0_a5_0_0 ;
input wb_o_15 ;
input wb_o_0 ;
output b_o_iv_0_a5_15 ;
output b_o_iv_0_a5_0_d0 ;
input muxb_ctl_o_0 ;
input muxb_ctl_o_1 ;
output b_o18 ;
output b_o_1_sqmuxa ;
output b_o_0_sqmuxa ;
input dout7 ;
input mux_fw_1 ;
output un1_b_o18_2 ;
wire r32_o_0 ;
wire b_o_iv_0_a5_0_0 ;
wire wb_o_15 ;
wire wb_o_0 ;
wire b_o_iv_0_a5_15 ;
wire b_o_iv_0_a5_0_d0 ;
wire muxb_ctl_o_0 ;
wire muxb_ctl_o_1 ;
wire b_o18 ;
wire b_o_1_sqmuxa ;
wire b_o_0_sqmuxa ;
wire dout7 ;
wire mux_fw_1 ;
wire un1_b_o18_2 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @5:227
  cyclone_lcell un1_b_o18_2_cZ (
        .combout(un1_b_o18_2),
        .dataa(muxb_ctl_o_1),
        .datab(muxb_ctl_o_0),
        .datac(mux_fw_1),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_b_o18_2_cZ.operation_mode="normal";
defparam un1_b_o18_2_cZ.output_mode="comb_only";
defparam un1_b_o18_2_cZ.lut_mask="999d";
defparam un1_b_o18_2_cZ.synch_mode="off";
defparam un1_b_o18_2_cZ.sum_lutc_input="datac";
// @5:227
  cyclone_lcell b_o_iv_0_a5_12_ (
        .combout(b_o_iv_0_a5_0_d0),
        .dataa(muxb_ctl_o_0),
        .datab(muxb_ctl_o_1),
        .datac(wb_o_0),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam b_o_iv_0_a5_12_.operation_mode="normal";
defparam b_o_iv_0_a5_12_.output_mode="comb_only";
defparam b_o_iv_0_a5_12_.lut_mask="0200";
defparam b_o_iv_0_a5_12_.synch_mode="off";
defparam b_o_iv_0_a5_12_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell b_o_iv_0_a5_27_ (
        .combout(b_o_iv_0_a5_15),
        .dataa(muxb_ctl_o_0),
        .datab(muxb_ctl_o_1),
        .datac(wb_o_15),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam b_o_iv_0_a5_27_.operation_mode="normal";
defparam b_o_iv_0_a5_27_.output_mode="comb_only";
defparam b_o_iv_0_a5_27_.lut_mask="0200";
defparam b_o_iv_0_a5_27_.synch_mode="off";
defparam b_o_iv_0_a5_27_.sum_lutc_input="datac";
// @5:228
  cyclone_lcell b_o_0_sqmuxa_cZ (
        .combout(b_o_0_sqmuxa),
        .dataa(VCC),
        .datab(muxb_ctl_o_0),
        .datac(muxb_ctl_o_1),
        .datad(mux_fw_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam b_o_0_sqmuxa_cZ.operation_mode="normal";
defparam b_o_0_sqmuxa_cZ.output_mode="comb_only";
defparam b_o_0_sqmuxa_cZ.lut_mask="0c00";
defparam b_o_0_sqmuxa_cZ.synch_mode="off";
defparam b_o_0_sqmuxa_cZ.sum_lutc_input="datac";
// @5:228
  cyclone_lcell b_o_1_sqmuxa_cZ (
        .combout(b_o_1_sqmuxa),
        .dataa(VCC),
        .datab(muxb_ctl_o_0),
        .datac(muxb_ctl_o_1),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam b_o_1_sqmuxa_cZ.operation_mode="normal";
defparam b_o_1_sqmuxa_cZ.output_mode="comb_only";
defparam b_o_1_sqmuxa_cZ.lut_mask="0c00";
defparam b_o_1_sqmuxa_cZ.synch_mode="off";
defparam b_o_1_sqmuxa_cZ.sum_lutc_input="datac";
// @5:229
  cyclone_lcell b_o18_cZ (
        .combout(b_o18),
        .dataa(VCC),
        .datab(VCC),
        .datac(muxb_ctl_o_0),
        .datad(muxb_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam b_o18_cZ.operation_mode="normal";
defparam b_o18_cZ.output_mode="comb_only";
defparam b_o18_cZ.lut_mask="0f00";
defparam b_o18_cZ.synch_mode="off";
defparam b_o18_cZ.sum_lutc_input="datac";
// @5:227
  cyclone_lcell b_o_iv_0_a5_0_28_ (
        .combout(b_o_iv_0_a5_0_0),
        .dataa(VCC),
        .datab(VCC),
        .datac(r32_o_0),
        .datad(un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam b_o_iv_0_a5_0_28_.operation_mode="normal";
defparam b_o_iv_0_a5_0_28_.output_mode="comb_only";
defparam b_o_iv_0_a5_0_28_.lut_mask="0f00";
defparam b_o_iv_0_a5_0_28_.synch_mode="off";
defparam b_o_iv_0_a5_0_28_.sum_lutc_input="datac";
endmodule /* alu_muxb */

// VQM4.1+ 
module r32_reg (
  BUS2446_cout_0,
  r32_o_0_2,
  r32_o_0_3,
  r32_o_0_4,
  r32_o_0_5,
  r32_o_0_6,
  r32_o_0_7,
  r32_o_0_8,
  r32_o_0_9,
  r32_o_0_10,
  r32_o_0_11,
  r32_o_0_12,
  r32_o_0_13,
  r32_o_0_14,
  r32_o_0_15,
  r32_o_0_16,
  r32_o_0_17,
  r32_o_0_18,
  r32_o_0_19,
  r32_o_0_20,
  r32_o_0_21,
  r32_o_0_22,
  r32_o_0_23,
  r32_o_0_24,
  r32_o_0_25,
  r32_o_0_26,
  r32_o_0_27,
  r32_o_0_28,
  r32_o_0_29,
  r32_o_0_30,
  r32_o_0_31,
  r32_o_0_1,
  r32_o_0_0,
  muxa_ctl_o_0,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  r32_o_1,
  r32_o_0,
  a_o_0_1,
  a_o_0_0,
  clk_c
);
input BUS2446_cout_0 ;
output r32_o_0_2 ;
input r32_o_0_3 ;
output r32_o_0_4 ;
input r32_o_0_5 ;
output r32_o_0_6 ;
input r32_o_0_7 ;
output r32_o_0_8 ;
input r32_o_0_9 ;
output r32_o_0_10 ;
input r32_o_0_11 ;
output r32_o_0_12 ;
input r32_o_0_13 ;
output r32_o_0_14 ;
input r32_o_0_15 ;
output r32_o_0_16 ;
input r32_o_0_17 ;
output r32_o_0_18 ;
input r32_o_0_19 ;
output r32_o_0_20 ;
input r32_o_0_21 ;
output r32_o_0_22 ;
input r32_o_0_23 ;
output r32_o_0_24 ;
input r32_o_0_25 ;
output r32_o_0_26 ;
input r32_o_0_27 ;
output r32_o_0_28 ;
input r32_o_0_29 ;
output r32_o_0_30 ;
input r32_o_0_31 ;
input r32_o_0_1 ;
input r32_o_0_0 ;
input muxa_ctl_o_0 ;
input r32_o_2 ;
output r32_o_3 ;
input r32_o_4 ;
output r32_o_5 ;
input r32_o_6 ;
output r32_o_7 ;
input r32_o_8 ;
output r32_o_9 ;
input r32_o_10 ;
output r32_o_11 ;
input r32_o_12 ;
output r32_o_13 ;
input r32_o_14 ;
output r32_o_15 ;
input r32_o_16 ;
output r32_o_17 ;
input r32_o_18 ;
output r32_o_19 ;
input r32_o_20 ;
output r32_o_21 ;
input r32_o_22 ;
output r32_o_23 ;
input r32_o_24 ;
output r32_o_25 ;
input r32_o_26 ;
output r32_o_27 ;
input r32_o_28 ;
output r32_o_29 ;
input r32_o_30 ;
output r32_o_31 ;
input r32_o_1 ;
input r32_o_0 ;
output a_o_0_1 ;
output a_o_0_0 ;
input clk_c ;
wire BUS2446_cout_0 ;
wire r32_o_0_2 ;
wire r32_o_0_3 ;
wire r32_o_0_4 ;
wire r32_o_0_5 ;
wire r32_o_0_6 ;
wire r32_o_0_7 ;
wire r32_o_0_8 ;
wire r32_o_0_9 ;
wire r32_o_0_10 ;
wire r32_o_0_11 ;
wire r32_o_0_12 ;
wire r32_o_0_13 ;
wire r32_o_0_14 ;
wire r32_o_0_15 ;
wire r32_o_0_16 ;
wire r32_o_0_17 ;
wire r32_o_0_18 ;
wire r32_o_0_19 ;
wire r32_o_0_20 ;
wire r32_o_0_21 ;
wire r32_o_0_22 ;
wire r32_o_0_23 ;
wire r32_o_0_24 ;
wire r32_o_0_25 ;
wire r32_o_0_26 ;
wire r32_o_0_27 ;
wire r32_o_0_28 ;
wire r32_o_0_29 ;
wire r32_o_0_30 ;
wire r32_o_0_31 ;
wire r32_o_0_1 ;
wire r32_o_0_0 ;
wire muxa_ctl_o_0 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire a_o_0_1 ;
wire a_o_0_0 ;
wire clk_c ;
wire [29:3] r32_o_cout;
wire VCC ;
wire GND ;
//@1:1
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_0__Z (
        .combout(a_o_0_0),
        .clk(clk_c),
        .dataa(r32_o_0),
        .datab(muxa_ctl_o_0),
        .datac(r32_o_0_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="comb_only";
defparam r32_o_0__Z.lut_mask="b8b8";
defparam r32_o_0__Z.synch_mode="on";
defparam r32_o_0__Z.sum_lutc_input="qfbk";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .combout(a_o_0_1),
        .clk(clk_c),
        .dataa(r32_o_1),
        .datab(muxa_ctl_o_0),
        .datac(r32_o_0_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="comb_only";
defparam r32_o_1__Z.lut_mask="b8b8";
defparam r32_o_1__Z.synch_mode="on";
defparam r32_o_1__Z.sum_lutc_input="qfbk";
// @18:162
  cyclone_lcell r32_o_31__Z (
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(r32_o_30),
        .datab(r32_o_0_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[29]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.cin_used="true";
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_only";
defparam r32_o_31__Z.lut_mask="6c6c";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .regout(r32_o_0_30),
        .clk(clk_c),
        .dataa(r32_o_30),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[28]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.cin_used="true";
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_only";
defparam r32_o_30__Z.lut_mask="5a5a";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .regout(r32_o_29),
        .cout(r32_o_cout[29]),
        .clk(clk_c),
        .dataa(r32_o_28),
        .datab(r32_o_0_29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[27]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.cin_used="true";
defparam r32_o_29__Z.operation_mode="arithmetic";
defparam r32_o_29__Z.output_mode="reg_only";
defparam r32_o_29__Z.lut_mask="6c80";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .regout(r32_o_0_28),
        .cout(r32_o_cout[28]),
        .clk(clk_c),
        .dataa(r32_o_28),
        .datab(r32_o_0_29),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[26]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.cin_used="true";
defparam r32_o_28__Z.operation_mode="arithmetic";
defparam r32_o_28__Z.output_mode="reg_only";
defparam r32_o_28__Z.lut_mask="5a80";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .regout(r32_o_27),
        .cout(r32_o_cout[27]),
        .clk(clk_c),
        .dataa(r32_o_26),
        .datab(r32_o_0_27),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[25]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.cin_used="true";
defparam r32_o_27__Z.operation_mode="arithmetic";
defparam r32_o_27__Z.output_mode="reg_only";
defparam r32_o_27__Z.lut_mask="6c80";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .regout(r32_o_0_26),
        .cout(r32_o_cout[26]),
        .clk(clk_c),
        .dataa(r32_o_26),
        .datab(r32_o_0_27),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[24]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.cin_used="true";
defparam r32_o_26__Z.operation_mode="arithmetic";
defparam r32_o_26__Z.output_mode="reg_only";
defparam r32_o_26__Z.lut_mask="5a80";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .regout(r32_o_25),
        .cout(r32_o_cout[25]),
        .clk(clk_c),
        .dataa(r32_o_24),
        .datab(r32_o_0_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[23]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.cin_used="true";
defparam r32_o_25__Z.operation_mode="arithmetic";
defparam r32_o_25__Z.output_mode="reg_only";
defparam r32_o_25__Z.lut_mask="6c80";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .regout(r32_o_0_24),
        .cout(r32_o_cout[24]),
        .clk(clk_c),
        .dataa(r32_o_24),
        .datab(r32_o_0_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[22]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.cin_used="true";
defparam r32_o_24__Z.operation_mode="arithmetic";
defparam r32_o_24__Z.output_mode="reg_only";
defparam r32_o_24__Z.lut_mask="5a80";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .regout(r32_o_23),
        .cout(r32_o_cout[23]),
        .clk(clk_c),
        .dataa(r32_o_22),
        .datab(r32_o_0_23),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[21]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.cin_used="true";
defparam r32_o_23__Z.operation_mode="arithmetic";
defparam r32_o_23__Z.output_mode="reg_only";
defparam r32_o_23__Z.lut_mask="6c80";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .regout(r32_o_0_22),
        .cout(r32_o_cout[22]),
        .clk(clk_c),
        .dataa(r32_o_22),
        .datab(r32_o_0_23),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[20]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.cin_used="true";
defparam r32_o_22__Z.operation_mode="arithmetic";
defparam r32_o_22__Z.output_mode="reg_only";
defparam r32_o_22__Z.lut_mask="5a80";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .regout(r32_o_21),
        .cout(r32_o_cout[21]),
        .clk(clk_c),
        .dataa(r32_o_20),
        .datab(r32_o_0_21),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[19]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.cin_used="true";
defparam r32_o_21__Z.operation_mode="arithmetic";
defparam r32_o_21__Z.output_mode="reg_only";
defparam r32_o_21__Z.lut_mask="6c80";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .regout(r32_o_0_20),
        .cout(r32_o_cout[20]),
        .clk(clk_c),
        .dataa(r32_o_20),
        .datab(r32_o_0_21),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[18]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.cin_used="true";
defparam r32_o_20__Z.operation_mode="arithmetic";
defparam r32_o_20__Z.output_mode="reg_only";
defparam r32_o_20__Z.lut_mask="5a80";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .regout(r32_o_19),
        .cout(r32_o_cout[19]),
        .clk(clk_c),
        .dataa(r32_o_18),
        .datab(r32_o_0_19),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[17]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.cin_used="true";
defparam r32_o_19__Z.operation_mode="arithmetic";
defparam r32_o_19__Z.output_mode="reg_only";
defparam r32_o_19__Z.lut_mask="6c80";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .regout(r32_o_0_18),
        .cout(r32_o_cout[18]),
        .clk(clk_c),
        .dataa(r32_o_18),
        .datab(r32_o_0_19),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[16]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.cin_used="true";
defparam r32_o_18__Z.operation_mode="arithmetic";
defparam r32_o_18__Z.output_mode="reg_only";
defparam r32_o_18__Z.lut_mask="5a80";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .regout(r32_o_17),
        .cout(r32_o_cout[17]),
        .clk(clk_c),
        .dataa(r32_o_16),
        .datab(r32_o_0_17),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[15]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.cin_used="true";
defparam r32_o_17__Z.operation_mode="arithmetic";
defparam r32_o_17__Z.output_mode="reg_only";
defparam r32_o_17__Z.lut_mask="6c80";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .regout(r32_o_0_16),
        .cout(r32_o_cout[16]),
        .clk(clk_c),
        .dataa(r32_o_16),
        .datab(r32_o_0_17),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[14]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.cin_used="true";
defparam r32_o_16__Z.operation_mode="arithmetic";
defparam r32_o_16__Z.output_mode="reg_only";
defparam r32_o_16__Z.lut_mask="5a80";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .regout(r32_o_15),
        .cout(r32_o_cout[15]),
        .clk(clk_c),
        .dataa(r32_o_14),
        .datab(r32_o_0_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[13]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.cin_used="true";
defparam r32_o_15__Z.operation_mode="arithmetic";
defparam r32_o_15__Z.output_mode="reg_only";
defparam r32_o_15__Z.lut_mask="6c80";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .regout(r32_o_0_14),
        .cout(r32_o_cout[14]),
        .clk(clk_c),
        .dataa(r32_o_14),
        .datab(r32_o_0_15),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[12]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.cin_used="true";
defparam r32_o_14__Z.operation_mode="arithmetic";
defparam r32_o_14__Z.output_mode="reg_only";
defparam r32_o_14__Z.lut_mask="5a80";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .regout(r32_o_13),
        .cout(r32_o_cout[13]),
        .clk(clk_c),
        .dataa(r32_o_12),
        .datab(r32_o_0_13),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[11]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.cin_used="true";
defparam r32_o_13__Z.operation_mode="arithmetic";
defparam r32_o_13__Z.output_mode="reg_only";
defparam r32_o_13__Z.lut_mask="6c80";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .regout(r32_o_0_12),
        .cout(r32_o_cout[12]),
        .clk(clk_c),
        .dataa(r32_o_12),
        .datab(r32_o_0_13),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[10]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.cin_used="true";
defparam r32_o_12__Z.operation_mode="arithmetic";
defparam r32_o_12__Z.output_mode="reg_only";
defparam r32_o_12__Z.lut_mask="5a80";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .regout(r32_o_11),
        .cout(r32_o_cout[11]),
        .clk(clk_c),
        .dataa(r32_o_10),
        .datab(r32_o_0_11),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[9]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.cin_used="true";
defparam r32_o_11__Z.operation_mode="arithmetic";
defparam r32_o_11__Z.output_mode="reg_only";
defparam r32_o_11__Z.lut_mask="6c80";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .regout(r32_o_0_10),
        .cout(r32_o_cout[10]),
        .clk(clk_c),
        .dataa(r32_o_10),
        .datab(r32_o_0_11),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[8]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.cin_used="true";
defparam r32_o_10__Z.operation_mode="arithmetic";
defparam r32_o_10__Z.output_mode="reg_only";
defparam r32_o_10__Z.lut_mask="5a80";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .regout(r32_o_9),
        .cout(r32_o_cout[9]),
        .clk(clk_c),
        .dataa(r32_o_8),
        .datab(r32_o_0_9),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[7]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.cin_used="true";
defparam r32_o_9__Z.operation_mode="arithmetic";
defparam r32_o_9__Z.output_mode="reg_only";
defparam r32_o_9__Z.lut_mask="6c80";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .regout(r32_o_0_8),
        .cout(r32_o_cout[8]),
        .clk(clk_c),
        .dataa(r32_o_8),
        .datab(r32_o_0_9),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[6]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.cin_used="true";
defparam r32_o_8__Z.operation_mode="arithmetic";
defparam r32_o_8__Z.output_mode="reg_only";
defparam r32_o_8__Z.lut_mask="5a80";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .regout(r32_o_7),
        .cout(r32_o_cout[7]),
        .clk(clk_c),
        .dataa(r32_o_6),
        .datab(r32_o_0_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[5]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.cin_used="true";
defparam r32_o_7__Z.operation_mode="arithmetic";
defparam r32_o_7__Z.output_mode="reg_only";
defparam r32_o_7__Z.lut_mask="6c80";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .regout(r32_o_0_6),
        .cout(r32_o_cout[6]),
        .clk(clk_c),
        .dataa(r32_o_6),
        .datab(r32_o_0_7),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[4]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.cin_used="true";
defparam r32_o_6__Z.operation_mode="arithmetic";
defparam r32_o_6__Z.output_mode="reg_only";
defparam r32_o_6__Z.lut_mask="5a80";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .regout(r32_o_5),
        .cout(r32_o_cout[5]),
        .clk(clk_c),
        .dataa(r32_o_4),
        .datab(r32_o_0_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(r32_o_cout[3]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.cin_used="true";
defparam r32_o_5__Z.operation_mode="arithmetic";
defparam r32_o_5__Z.output_mode="reg_only";
defparam r32_o_5__Z.lut_mask="6c80";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .regout(r32_o_0_4),
        .cout(r32_o_cout[4]),
        .clk(clk_c),
        .dataa(r32_o_4),
        .datab(r32_o_0_5),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .cin(BUS2446_cout_0),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.cin_used="true";
defparam r32_o_4__Z.operation_mode="arithmetic";
defparam r32_o_4__Z.output_mode="reg_only";
defparam r32_o_4__Z.lut_mask="5a80";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="cin";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .regout(r32_o_3),
        .cout(r32_o_cout[3]),
        .clk(clk_c),
        .dataa(r32_o_2),
        .datab(r32_o_0_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="arithmetic";
defparam r32_o_3__Z.output_mode="reg_only";
defparam r32_o_3__Z.lut_mask="6688";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .regout(r32_o_0_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_only";
defparam r32_o_2__Z.lut_mask="00ff";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
endmodule /* r32_reg */

// VQM4.1+ 
module r32_reg_cls (
  r32_o_0_0,
  r32_o_0_1,
  r32_o_0_2,
  r32_o_0_3,
  r32_o_0_4,
  r32_o_0_5,
  r32_o_0_6,
  r32_o_0_7,
  r32_o_0_8,
  r32_o_0_9,
  r32_o_0_10,
  r32_o_0_11,
  r32_o_0_12,
  r32_o_0_13,
  r32_o_0_14,
  r32_o_0_15,
  r32_o_0_16,
  r32_o_0_17,
  r32_o_0_18,
  r32_o_0_19,
  r32_o_0_20,
  r32_o_0_21,
  r32_o_0_22,
  r32_o_0_23,
  r32_o_0_24,
  r32_o_0_25,
  r32_o_0_26,
  r32_o_0_27,
  r32_o_0_28,
  r32_o_0_29,
  r32_o_0_30,
  r32_o_0_31,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  NET21531_i,
  clk_c
);
input r32_o_0_0 ;
input r32_o_0_1 ;
input r32_o_0_2 ;
input r32_o_0_3 ;
input r32_o_0_4 ;
input r32_o_0_5 ;
input r32_o_0_6 ;
input r32_o_0_7 ;
input r32_o_0_8 ;
input r32_o_0_9 ;
input r32_o_0_10 ;
input r32_o_0_11 ;
input r32_o_0_12 ;
input r32_o_0_13 ;
input r32_o_0_14 ;
input r32_o_0_15 ;
input r32_o_0_16 ;
input r32_o_0_17 ;
input r32_o_0_18 ;
input r32_o_0_19 ;
input r32_o_0_20 ;
input r32_o_0_21 ;
input r32_o_0_22 ;
input r32_o_0_23 ;
input r32_o_0_24 ;
input r32_o_0_25 ;
input r32_o_0_26 ;
input r32_o_0_27 ;
input r32_o_0_28 ;
input r32_o_0_29 ;
input r32_o_0_30 ;
input r32_o_0_31 ;
output r32_o_0 ;
output r32_o_1 ;
output r32_o_2 ;
output r32_o_3 ;
output r32_o_4 ;
output r32_o_5 ;
output r32_o_6 ;
output r32_o_7 ;
output r32_o_8 ;
output r32_o_9 ;
output r32_o_10 ;
output r32_o_11 ;
output r32_o_12 ;
output r32_o_13 ;
output r32_o_14 ;
output r32_o_15 ;
output r32_o_16 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_30 ;
output r32_o_31 ;
input NET21531_i ;
input clk_c ;
wire r32_o_0_0 ;
wire r32_o_0_1 ;
wire r32_o_0_2 ;
wire r32_o_0_3 ;
wire r32_o_0_4 ;
wire r32_o_0_5 ;
wire r32_o_0_6 ;
wire r32_o_0_7 ;
wire r32_o_0_8 ;
wire r32_o_0_9 ;
wire r32_o_0_10 ;
wire r32_o_0_11 ;
wire r32_o_0_12 ;
wire r32_o_0_13 ;
wire r32_o_0_14 ;
wire r32_o_0_15 ;
wire r32_o_0_16 ;
wire r32_o_0_17 ;
wire r32_o_0_18 ;
wire r32_o_0_19 ;
wire r32_o_0_20 ;
wire r32_o_0_21 ;
wire r32_o_0_22 ;
wire r32_o_0_23 ;
wire r32_o_0_24 ;
wire r32_o_0_25 ;
wire r32_o_0_26 ;
wire r32_o_0_27 ;
wire r32_o_0_28 ;
wire r32_o_0_29 ;
wire r32_o_0_30 ;
wire r32_o_0_31 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire NET21531_i ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:185
  cyclone_lcell r32_o_31__Z (
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_only";
defparam r32_o_31__Z.lut_mask="ff00";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_30__Z (
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_only";
defparam r32_o_30__Z.lut_mask="ff00";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_29__Z (
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_only";
defparam r32_o_29__Z.lut_mask="ff00";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_28__Z (
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_only";
defparam r32_o_28__Z.lut_mask="ff00";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_27__Z (
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_only";
defparam r32_o_27__Z.lut_mask="ff00";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_26__Z (
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_only";
defparam r32_o_26__Z.lut_mask="ff00";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_25__Z (
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_only";
defparam r32_o_25__Z.lut_mask="ff00";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_24__Z (
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_only";
defparam r32_o_24__Z.lut_mask="ff00";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_23__Z (
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_only";
defparam r32_o_23__Z.lut_mask="ff00";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_22__Z (
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_only";
defparam r32_o_22__Z.lut_mask="ff00";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_21__Z (
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_only";
defparam r32_o_21__Z.lut_mask="ff00";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_20__Z (
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_only";
defparam r32_o_20__Z.lut_mask="ff00";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_19__Z (
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_only";
defparam r32_o_19__Z.lut_mask="ff00";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_18__Z (
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_only";
defparam r32_o_18__Z.lut_mask="ff00";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_17__Z (
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_only";
defparam r32_o_17__Z.lut_mask="ff00";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_16__Z (
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_only";
defparam r32_o_16__Z.lut_mask="ff00";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_15__Z (
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_only";
defparam r32_o_15__Z.lut_mask="ff00";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_14__Z (
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_only";
defparam r32_o_14__Z.lut_mask="ff00";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_13__Z (
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_only";
defparam r32_o_13__Z.lut_mask="ff00";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_12__Z (
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_only";
defparam r32_o_12__Z.lut_mask="ff00";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_11__Z (
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_only";
defparam r32_o_11__Z.lut_mask="ff00";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_10__Z (
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_only";
defparam r32_o_10__Z.lut_mask="ff00";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_9__Z (
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_only";
defparam r32_o_9__Z.lut_mask="ff00";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_8__Z (
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_only";
defparam r32_o_8__Z.lut_mask="ff00";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_7__Z (
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_only";
defparam r32_o_7__Z.lut_mask="ff00";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_6__Z (
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_only";
defparam r32_o_6__Z.lut_mask="ff00";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_5__Z (
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_only";
defparam r32_o_5__Z.lut_mask="ff00";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_4__Z (
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_only";
defparam r32_o_4__Z.lut_mask="ff00";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_3__Z (
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_only";
defparam r32_o_3__Z.lut_mask="ff00";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_2__Z (
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_only";
defparam r32_o_2__Z.lut_mask="ff00";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_1__Z (
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_only";
defparam r32_o_1__Z.lut_mask="ff00";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:185
  cyclone_lcell r32_o_0__Z (
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(NET21531_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_only";
defparam r32_o_0__Z.lut_mask="ff00";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_cls */

// VQM4.1+ 
module exec_stage (
  muxb_ctl_o_1,
  muxb_ctl_o_0,
  r32_o_5_28,
  r32_o_5_26,
  r32_o_5_24,
  r32_o_5_22,
  r32_o_5_20,
  r32_o_5_18,
  r32_o_5_16,
  r32_o_5_14,
  r32_o_5_12,
  r32_o_5_10,
  r32_o_5_8,
  r32_o_5_6,
  r32_o_5_4,
  r32_o_5_2,
  r32_o_5_29,
  r32_o_5_27,
  r32_o_5_25,
  r32_o_5_23,
  r32_o_5_21,
  r32_o_5_19,
  r32_o_5_17,
  r32_o_5_15,
  r32_o_5_13,
  r32_o_5_11,
  r32_o_5_9,
  r32_o_5_7,
  r32_o_5_5,
  r32_o_5_3,
  r32_o_5_0,
  r32_o_5_1,
  r32_o_4_0,
  r32_o_4_1,
  r32_o_4_4,
  r32_o_4_18,
  r32_o_4_23,
  r32_o_4_19,
  r32_o_4_16,
  r32_o_4_2,
  r32_o_4_3,
  wb_we_o_0_0,
  muxa_ctl_o_1,
  muxa_ctl_o_0,
  r32_o_3_0,
  r32_o_3_1,
  r32_o_3_5,
  r32_o_3_6,
  r32_o_3_7,
  r32_o_3_8,
  r32_o_3_9,
  r32_o_3_10,
  r32_o_3_11,
  r32_o_3_12,
  r32_o_3_13,
  r32_o_3_14,
  r32_o_3_15,
  r32_o_3_17,
  r32_o_3_21,
  r32_o_3_22,
  r32_o_3_24,
  r32_o_3_25,
  r32_o_3_26,
  r32_o_3_27,
  r32_o_3_28,
  r32_o_3_29,
  r32_o_3_30,
  r32_o_3_31,
  r32_o_3_20,
  r32_o_3_4,
  r32_o_3_18,
  r32_o_3_23,
  r32_o_3_16,
  r32_o_3_19,
  r32_o_3_2,
  r32_o_3_3,
  r32_o_2_0,
  r32_o_2_1,
  r32_o_2_5,
  r32_o_2_6,
  r32_o_2_7,
  r32_o_2_8,
  r32_o_2_9,
  r32_o_2_10,
  r32_o_2_11,
  r32_o_2_12,
  r32_o_2_13,
  r32_o_2_14,
  r32_o_2_15,
  r32_o_2_17,
  r32_o_2_20,
  r32_o_2_21,
  r32_o_2_22,
  r32_o_2_24,
  r32_o_2_25,
  r32_o_2_26,
  r32_o_2_27,
  r32_o_2_28,
  r32_o_2_29,
  r32_o_2_30,
  r32_o_2_31,
  r32_o_2_4,
  r32_o_2_18,
  wb_we_o_0,
  q_0,
  r5_o_0,
  dout_2_a_0,
  dout_2_a_1,
  dout_2_a_2,
  dout_2_a_3,
  dout_2_a_4,
  dout_2_a_5,
  dout_2_a_6,
  dout_2_a_7,
  dout_2_a_8,
  dout_2_a_9,
  dout_2_a_10,
  dout_2_a_11,
  dout_2_a_12,
  dout_2_a_13,
  dout_2_a_14,
  dout_2_a_15,
  dout_2_a_16,
  dout_2_a_17,
  dout_2_a_18,
  dout_2_a_19,
  dout_2_a_20,
  dout_2_a_21,
  dout_2_a_22,
  dout_2_a_23,
  dout_2_a_24,
  dout_2_a_25,
  dout_2_a_26,
  dout_2_a_27,
  dout_2_a_28,
  dout_2_a_29,
  dout_2_a_30,
  dout_2_a_31,
  r32_o_1_0,
  r32_o_1_1,
  r32_o_1_5,
  r32_o_1_6,
  r32_o_1_7,
  r32_o_1_8,
  r32_o_1_9,
  r32_o_1_10,
  r32_o_1_11,
  r32_o_1_12,
  r32_o_1_13,
  r32_o_1_14,
  r32_o_1_15,
  r32_o_1_17,
  r32_o_1_20,
  r32_o_1_21,
  r32_o_1_22,
  r32_o_1_24,
  r32_o_1_25,
  r32_o_1_26,
  r32_o_1_27,
  r32_o_1_28,
  r32_o_1_29,
  r32_o_1_30,
  r32_o_1_31,
  r32_o_1_23,
  r32_o_1_16,
  r32_o_1_19,
  r32_o_1_2,
  r32_o_1_3,
  c_0,
  c_a_30,
  c_a_5,
  c_a_4,
  c_a_16,
  c_a_8,
  c_a_7,
  c_a_1,
  c_a_22,
  c_a_19,
  c_a_11,
  c_a_10,
  c_a_25,
  c_a_6,
  c_a_0,
  c_a_3,
  c_a_13,
  shift_out_92_2_0_3,
  shift_out_92_2_0_2,
  shift_out_92_2_0_1,
  shift_out_92_2_0_0,
  c_1_31,
  c_1_0,
  c_1_3,
  c_1_27,
  c_1_1,
  c_1_4,
  c_1_25,
  c_1_6,
  c_1_26,
  c_1_10,
  c_1_9,
  c_1_30,
  c_1_8,
  c_1_12,
  c_1_28,
  c_1_18,
  c_1_13,
  c_1_29,
  c_1_14,
  c_1_17,
  c_1_20,
  c_1_2,
  c_1_5,
  c_1_15,
  c_1_21,
  c_1_16,
  c_1_19,
  c_3_0,
  c_3_13,
  c_3_12,
  c_4_0,
  alu_func_o_3,
  alu_func_o_2,
  alu_func_o_0,
  alu_func_o_4,
  alu_func_o_1,
  b_o_iv_11,
  b_o_iv_22,
  b_o_iv_0_d0,
  b_o_iv_1,
  b_o_iv_2,
  b_o_iv_3,
  b_o_iv_4,
  b_o_iv_5,
  b_o_iv_6,
  b_o_iv_7,
  b_o_iv_8,
  b_o_iv_9,
  b_o_iv_10,
  b_o_iv_13,
  b_o_iv_14,
  b_o_iv_15,
  b_o_iv_16,
  b_o_iv_17,
  b_o_iv_18,
  b_o_iv_19,
  b_o_iv_20,
  b_o_iv_31,
  c_0_0,
  hilo_0,
  BUS7117_i_m_0,
  BUS7117_i_m_4,
  BUS7117_i_m_16,
  b_o_iv_0_a5_0,
  b_o_iv_0_a_0,
  b_o_iv_0_a_15,
  b_o_iv_0_a_16,
  wb_o_23,
  wb_o_19,
  wb_o_1,
  wb_o_2,
  wb_o_3,
  wb_o_5,
  wb_o_6,
  wb_o_7,
  wb_o_8,
  wb_o_9,
  wb_o_10,
  wb_o_11,
  wb_o_12,
  wb_o_13,
  wb_o_14,
  wb_o_15,
  wb_o_17,
  wb_o_18,
  wb_o_20,
  wb_o_21,
  wb_o_22,
  wb_o_24,
  wb_o_25,
  wb_o_26,
  wb_o_27,
  wb_o_29,
  wb_o_30,
  wb_o_31,
  wb_o_0,
  wb_o_4,
  wb_o_16,
  wb_o_28,
  b_o_iv_0_0,
  b_o_iv_a_0,
  b_o_iv_a_1,
  b_o_iv_a_2,
  b_o_iv_a_3,
  b_o_iv_a_4,
  b_o_iv_a_5,
  b_o_iv_a_6,
  b_o_iv_a_7,
  b_o_iv_a_8,
  b_o_iv_a_9,
  b_o_iv_a_10,
  b_o_iv_a_11,
  b_o_iv_a_13,
  b_o_iv_a_14,
  b_o_iv_a_15,
  b_o_iv_a_16,
  b_o_iv_a_17,
  b_o_iv_a_18,
  b_o_iv_a_19,
  b_o_iv_a_20,
  b_o_iv_a_21,
  b_o_iv_a_22,
  b_o_iv_a_23,
  b_o_iv_a_24,
  b_o_iv_a_25,
  b_o_iv_a_26,
  b_o_iv_a_29,
  b_o_iv_a_30,
  b_o_iv_a_31,
  BUS15471_i_m_0,
  BUS15471_i_m_1,
  BUS15471_i_m_2,
  BUS15471_i_m_4,
  BUS15471_i_m_5,
  BUS15471_i_m_6,
  BUS15471_i_m_7,
  BUS15471_i_m_8,
  BUS15471_i_m_9,
  BUS15471_i_m_10,
  BUS15471_i_m_12,
  BUS15471_i_m_13,
  BUS15471_i_m_14,
  BUS15471_i_m_16,
  BUS15471_i_m_17,
  BUS15471_i_m_18,
  BUS15471_i_m_19,
  BUS15471_i_m_20,
  BUS15471_i_m_21,
  BUS15471_i_m_22,
  BUS15471_i_m_23,
  BUS15471_i_m_24,
  BUS15471_i_m_25,
  BUS15471_i_m_28,
  BUS15471_i_m_29,
  BUS15471_i_m_30,
  r32_o_0_0,
  r32_o_0_1,
  r32_o_0_4,
  r32_o_0_5,
  r32_o_0_6,
  r32_o_0_7,
  r32_o_0_8,
  r32_o_0_9,
  r32_o_0_10,
  r32_o_0_11,
  r32_o_0_12,
  r32_o_0_13,
  r32_o_0_14,
  r32_o_0_15,
  r32_o_0_16,
  r32_o_0_17,
  r32_o_0_18,
  r32_o_0_19,
  r32_o_0_20,
  r32_o_0_21,
  r32_o_0_22,
  r32_o_0_23,
  r32_o_0_24,
  r32_o_0_25,
  r32_o_0_26,
  r32_o_0_27,
  r32_o_0_28,
  r32_o_0_29,
  r32_o_0_30,
  r32_o_0_31,
  r32_o_0_2,
  r32_o_0_3,
  count_0,
  shift_out_89_2_0_3,
  shift_out_89_2_0_2,
  shift_out_89_2_0_1,
  shift_out_89_2_0_0,
  shift_out_89_4_0_2,
  shift_out_89_4_0_9,
  shift_out_89_4_0_8,
  shift_out_89_4_0_7,
  shift_out_89_4_0_5,
  shift_out_89_4_0_4,
  shift_out_89_4_0_3,
  shift_out_89_4_0_1,
  shift_out_89_4_0_0,
  shift_out_89_4_0_13,
  shift_out_89_4_0_12,
  shift_out_89_4_0_11,
  shift_out_89_4_0_10,
  shift_out_89_4_0_6,
  shift_out_89_28,
  shift_out_89_27,
  shift_out_89_1,
  shift_out_89_0,
  shift_out_89_26,
  shift_out_89_25,
  shift_out_89_23,
  shift_out_89_22,
  shift_out_89_3,
  shift_out_89_2,
  shift_out_92_2,
  shift_out_92_0,
  shift_out_92_25,
  shift_out_92_21,
  shift_out_92_20,
  shift_out_92_18,
  shift_out_92_14,
  shift_out_92_9,
  shift_out_92_12,
  shift_out_92_17,
  shift_out_92_26,
  shift_out_92_24,
  shift_out_92_23,
  shift_out_92_15,
  r32_o_0,
  r32_o_4,
  r32_o_16,
  r32_o_28,
  r32_o_1,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  r32_o_3,
  r32_o_2,
  NET21531_i,
  un1_b_o18_2,
  b_o18,
  un1_mux_fw_NE,
  un14_mux_fw,
  un17_mux_fw_NE,
  dout7,
  un30_mux_fw,
  mux_fw_1,
  un17_mux_fw_NE_1,
  un17_mux_fw_NE_2,
  shift_out_sn_m31_i,
  overflow_2_sqmuxa,
  G_230,
  I_159_a,
  finish,
  op2_sign_reged,
  start,
  mul,
  hilo25,
  rst_c,
  rdy,
  b_o_1_sqmuxa,
  b_o_0_sqmuxa,
  clk_c,
  m50,
  m51
);
input muxb_ctl_o_1 ;
input muxb_ctl_o_0 ;
input r32_o_5_28 ;
input r32_o_5_26 ;
input r32_o_5_24 ;
input r32_o_5_22 ;
input r32_o_5_20 ;
input r32_o_5_18 ;
input r32_o_5_16 ;
input r32_o_5_14 ;
input r32_o_5_12 ;
input r32_o_5_10 ;
input r32_o_5_8 ;
input r32_o_5_6 ;
input r32_o_5_4 ;
input r32_o_5_2 ;
input r32_o_5_29 ;
input r32_o_5_27 ;
input r32_o_5_25 ;
input r32_o_5_23 ;
input r32_o_5_21 ;
input r32_o_5_19 ;
input r32_o_5_17 ;
input r32_o_5_15 ;
input r32_o_5_13 ;
input r32_o_5_11 ;
input r32_o_5_9 ;
input r32_o_5_7 ;
input r32_o_5_5 ;
input r32_o_5_3 ;
output r32_o_5_0 ;
output r32_o_5_1 ;
input r32_o_4_0 ;
input r32_o_4_1 ;
output r32_o_4_4 ;
output r32_o_4_18 ;
input r32_o_4_23 ;
input r32_o_4_19 ;
input r32_o_4_16 ;
input r32_o_4_2 ;
input r32_o_4_3 ;
input wb_we_o_0_0 ;
input muxa_ctl_o_1 ;
input muxa_ctl_o_0 ;
input r32_o_3_0 ;
input r32_o_3_1 ;
input r32_o_3_5 ;
input r32_o_3_6 ;
input r32_o_3_7 ;
input r32_o_3_8 ;
input r32_o_3_9 ;
input r32_o_3_10 ;
input r32_o_3_11 ;
input r32_o_3_12 ;
input r32_o_3_13 ;
input r32_o_3_14 ;
input r32_o_3_15 ;
input r32_o_3_17 ;
input r32_o_3_21 ;
input r32_o_3_22 ;
input r32_o_3_24 ;
input r32_o_3_25 ;
input r32_o_3_26 ;
input r32_o_3_27 ;
input r32_o_3_28 ;
input r32_o_3_29 ;
input r32_o_3_30 ;
input r32_o_3_31 ;
input r32_o_3_20 ;
input r32_o_3_4 ;
input r32_o_3_18 ;
input r32_o_3_23 ;
input r32_o_3_16 ;
input r32_o_3_19 ;
input r32_o_3_2 ;
input r32_o_3_3 ;
output r32_o_2_0 ;
output r32_o_2_1 ;
output r32_o_2_5 ;
output r32_o_2_6 ;
output r32_o_2_7 ;
output r32_o_2_8 ;
output r32_o_2_9 ;
output r32_o_2_10 ;
output r32_o_2_11 ;
output r32_o_2_12 ;
output r32_o_2_13 ;
output r32_o_2_14 ;
output r32_o_2_15 ;
output r32_o_2_17 ;
output r32_o_2_20 ;
output r32_o_2_21 ;
output r32_o_2_22 ;
output r32_o_2_24 ;
output r32_o_2_25 ;
output r32_o_2_26 ;
output r32_o_2_27 ;
output r32_o_2_28 ;
output r32_o_2_29 ;
output r32_o_2_30 ;
output r32_o_2_31 ;
input r32_o_2_4 ;
input r32_o_2_18 ;
input wb_we_o_0 ;
input q_0 ;
input r5_o_0 ;
output dout_2_a_0 ;
output dout_2_a_1 ;
output dout_2_a_2 ;
output dout_2_a_3 ;
output dout_2_a_4 ;
output dout_2_a_5 ;
output dout_2_a_6 ;
output dout_2_a_7 ;
output dout_2_a_8 ;
output dout_2_a_9 ;
output dout_2_a_10 ;
output dout_2_a_11 ;
output dout_2_a_12 ;
output dout_2_a_13 ;
output dout_2_a_14 ;
output dout_2_a_15 ;
output dout_2_a_16 ;
output dout_2_a_17 ;
output dout_2_a_18 ;
output dout_2_a_19 ;
output dout_2_a_20 ;
output dout_2_a_21 ;
output dout_2_a_22 ;
output dout_2_a_23 ;
output dout_2_a_24 ;
output dout_2_a_25 ;
output dout_2_a_26 ;
output dout_2_a_27 ;
output dout_2_a_28 ;
output dout_2_a_29 ;
output dout_2_a_30 ;
output dout_2_a_31 ;
input r32_o_1_0 ;
input r32_o_1_1 ;
input r32_o_1_5 ;
input r32_o_1_6 ;
input r32_o_1_7 ;
input r32_o_1_8 ;
input r32_o_1_9 ;
input r32_o_1_10 ;
input r32_o_1_11 ;
input r32_o_1_12 ;
input r32_o_1_13 ;
input r32_o_1_14 ;
input r32_o_1_15 ;
input r32_o_1_17 ;
input r32_o_1_20 ;
input r32_o_1_21 ;
input r32_o_1_22 ;
input r32_o_1_24 ;
input r32_o_1_25 ;
input r32_o_1_26 ;
input r32_o_1_27 ;
input r32_o_1_28 ;
input r32_o_1_29 ;
input r32_o_1_30 ;
input r32_o_1_31 ;
output r32_o_1_23 ;
output r32_o_1_16 ;
output r32_o_1_19 ;
input r32_o_1_2 ;
input r32_o_1_3 ;
output c_0 ;
output c_a_30 ;
output c_a_5 ;
output c_a_4 ;
output c_a_16 ;
output c_a_8 ;
output c_a_7 ;
output c_a_1 ;
output c_a_22 ;
output c_a_19 ;
output c_a_11 ;
output c_a_10 ;
output c_a_25 ;
output c_a_6 ;
output c_a_0 ;
output c_a_3 ;
output c_a_13 ;
output shift_out_92_2_0_3 ;
output shift_out_92_2_0_2 ;
output shift_out_92_2_0_1 ;
output shift_out_92_2_0_0 ;
output c_1_31 ;
output c_1_0 ;
output c_1_3 ;
output c_1_27 ;
output c_1_1 ;
output c_1_4 ;
output c_1_25 ;
output c_1_6 ;
output c_1_26 ;
output c_1_10 ;
output c_1_9 ;
output c_1_30 ;
output c_1_8 ;
output c_1_12 ;
output c_1_28 ;
output c_1_18 ;
output c_1_13 ;
output c_1_29 ;
output c_1_14 ;
output c_1_17 ;
output c_1_20 ;
output c_1_2 ;
output c_1_5 ;
output c_1_15 ;
output c_1_21 ;
output c_1_16 ;
output c_1_19 ;
output c_3_0 ;
output c_3_13 ;
output c_3_12 ;
output c_4_0 ;
input alu_func_o_3 ;
input alu_func_o_2 ;
input alu_func_o_0 ;
input alu_func_o_4 ;
input alu_func_o_1 ;
input b_o_iv_11 ;
input b_o_iv_22 ;
input b_o_iv_0_d0 ;
input b_o_iv_1 ;
input b_o_iv_2 ;
input b_o_iv_3 ;
input b_o_iv_4 ;
input b_o_iv_5 ;
input b_o_iv_6 ;
input b_o_iv_7 ;
input b_o_iv_8 ;
input b_o_iv_9 ;
input b_o_iv_10 ;
input b_o_iv_13 ;
input b_o_iv_14 ;
input b_o_iv_15 ;
input b_o_iv_16 ;
input b_o_iv_17 ;
input b_o_iv_18 ;
input b_o_iv_19 ;
input b_o_iv_20 ;
input b_o_iv_31 ;
output c_0_0 ;
output hilo_0 ;
input BUS7117_i_m_0 ;
input BUS7117_i_m_4 ;
input BUS7117_i_m_16 ;
output b_o_iv_0_a5_0 ;
input b_o_iv_0_a_0 ;
input b_o_iv_0_a_15 ;
input b_o_iv_0_a_16 ;
input wb_o_23 ;
input wb_o_19 ;
input wb_o_1 ;
input wb_o_2 ;
input wb_o_3 ;
input wb_o_5 ;
input wb_o_6 ;
input wb_o_7 ;
input wb_o_8 ;
input wb_o_9 ;
input wb_o_10 ;
input wb_o_11 ;
input wb_o_12 ;
input wb_o_13 ;
input wb_o_14 ;
input wb_o_15 ;
input wb_o_17 ;
input wb_o_18 ;
input wb_o_20 ;
input wb_o_21 ;
input wb_o_22 ;
input wb_o_24 ;
input wb_o_25 ;
input wb_o_26 ;
input wb_o_27 ;
input wb_o_29 ;
input wb_o_30 ;
input wb_o_31 ;
input wb_o_0 ;
input wb_o_4 ;
input wb_o_16 ;
input wb_o_28 ;
input b_o_iv_0_0 ;
input b_o_iv_a_0 ;
input b_o_iv_a_1 ;
input b_o_iv_a_2 ;
input b_o_iv_a_3 ;
input b_o_iv_a_4 ;
input b_o_iv_a_5 ;
input b_o_iv_a_6 ;
input b_o_iv_a_7 ;
input b_o_iv_a_8 ;
input b_o_iv_a_9 ;
input b_o_iv_a_10 ;
input b_o_iv_a_11 ;
input b_o_iv_a_13 ;
input b_o_iv_a_14 ;
input b_o_iv_a_15 ;
input b_o_iv_a_16 ;
input b_o_iv_a_17 ;
input b_o_iv_a_18 ;
input b_o_iv_a_19 ;
input b_o_iv_a_20 ;
input b_o_iv_a_21 ;
input b_o_iv_a_22 ;
input b_o_iv_a_23 ;
input b_o_iv_a_24 ;
input b_o_iv_a_25 ;
input b_o_iv_a_26 ;
input b_o_iv_a_29 ;
input b_o_iv_a_30 ;
input b_o_iv_a_31 ;
input BUS15471_i_m_0 ;
input BUS15471_i_m_1 ;
input BUS15471_i_m_2 ;
input BUS15471_i_m_4 ;
input BUS15471_i_m_5 ;
input BUS15471_i_m_6 ;
input BUS15471_i_m_7 ;
input BUS15471_i_m_8 ;
input BUS15471_i_m_9 ;
input BUS15471_i_m_10 ;
input BUS15471_i_m_12 ;
input BUS15471_i_m_13 ;
input BUS15471_i_m_14 ;
input BUS15471_i_m_16 ;
input BUS15471_i_m_17 ;
input BUS15471_i_m_18 ;
input BUS15471_i_m_19 ;
input BUS15471_i_m_20 ;
input BUS15471_i_m_21 ;
input BUS15471_i_m_22 ;
input BUS15471_i_m_23 ;
input BUS15471_i_m_24 ;
input BUS15471_i_m_25 ;
input BUS15471_i_m_28 ;
input BUS15471_i_m_29 ;
input BUS15471_i_m_30 ;
input r32_o_0_0 ;
input r32_o_0_1 ;
input r32_o_0_4 ;
input r32_o_0_5 ;
input r32_o_0_6 ;
input r32_o_0_7 ;
input r32_o_0_8 ;
input r32_o_0_9 ;
input r32_o_0_10 ;
input r32_o_0_11 ;
input r32_o_0_12 ;
input r32_o_0_13 ;
input r32_o_0_14 ;
input r32_o_0_15 ;
input r32_o_0_16 ;
input r32_o_0_17 ;
input r32_o_0_18 ;
input r32_o_0_19 ;
input r32_o_0_20 ;
input r32_o_0_21 ;
input r32_o_0_22 ;
input r32_o_0_23 ;
input r32_o_0_24 ;
input r32_o_0_25 ;
input r32_o_0_26 ;
input r32_o_0_27 ;
input r32_o_0_28 ;
input r32_o_0_29 ;
input r32_o_0_30 ;
input r32_o_0_31 ;
input r32_o_0_2 ;
input r32_o_0_3 ;
output count_0 ;
output shift_out_89_2_0_3 ;
output shift_out_89_2_0_2 ;
output shift_out_89_2_0_1 ;
output shift_out_89_2_0_0 ;
output shift_out_89_4_0_2 ;
output shift_out_89_4_0_9 ;
output shift_out_89_4_0_8 ;
output shift_out_89_4_0_7 ;
output shift_out_89_4_0_5 ;
output shift_out_89_4_0_4 ;
output shift_out_89_4_0_3 ;
output shift_out_89_4_0_1 ;
output shift_out_89_4_0_0 ;
output shift_out_89_4_0_13 ;
output shift_out_89_4_0_12 ;
output shift_out_89_4_0_11 ;
output shift_out_89_4_0_10 ;
output shift_out_89_4_0_6 ;
output shift_out_89_28 ;
output shift_out_89_27 ;
output shift_out_89_1 ;
output shift_out_89_0 ;
output shift_out_89_26 ;
output shift_out_89_25 ;
output shift_out_89_23 ;
output shift_out_89_22 ;
output shift_out_89_3 ;
output shift_out_89_2 ;
output shift_out_92_2 ;
output shift_out_92_0 ;
output shift_out_92_25 ;
output shift_out_92_21 ;
output shift_out_92_20 ;
output shift_out_92_18 ;
output shift_out_92_14 ;
output shift_out_92_9 ;
output shift_out_92_12 ;
output shift_out_92_17 ;
output shift_out_92_26 ;
output shift_out_92_24 ;
output shift_out_92_23 ;
output shift_out_92_15 ;
input r32_o_0 ;
input r32_o_4 ;
input r32_o_16 ;
input r32_o_28 ;
input r32_o_1 ;
input r32_o_5 ;
input r32_o_6 ;
input r32_o_7 ;
input r32_o_8 ;
input r32_o_9 ;
input r32_o_10 ;
input r32_o_11 ;
input r32_o_12 ;
input r32_o_13 ;
input r32_o_14 ;
input r32_o_15 ;
input r32_o_17 ;
input r32_o_18 ;
input r32_o_19 ;
input r32_o_20 ;
input r32_o_21 ;
input r32_o_22 ;
input r32_o_23 ;
input r32_o_24 ;
input r32_o_25 ;
input r32_o_26 ;
input r32_o_27 ;
input r32_o_29 ;
input r32_o_30 ;
input r32_o_31 ;
input r32_o_3 ;
input r32_o_2 ;
input NET21531_i ;
output un1_b_o18_2 ;
output b_o18 ;
input un1_mux_fw_NE ;
input un14_mux_fw ;
input un17_mux_fw_NE ;
output dout7 ;
input un30_mux_fw ;
input mux_fw_1 ;
input un17_mux_fw_NE_1 ;
input un17_mux_fw_NE_2 ;
output shift_out_sn_m31_i ;
output overflow_2_sqmuxa ;
input G_230 ;
input I_159_a ;
output finish ;
output op2_sign_reged ;
output start ;
output mul ;
output hilo25 ;
input rst_c ;
output rdy ;
output b_o_1_sqmuxa ;
output b_o_0_sqmuxa ;
input clk_c ;
output m50 ;
output m51 ;
wire muxb_ctl_o_1 ;
wire muxb_ctl_o_0 ;
wire r32_o_5_28 ;
wire r32_o_5_26 ;
wire r32_o_5_24 ;
wire r32_o_5_22 ;
wire r32_o_5_20 ;
wire r32_o_5_18 ;
wire r32_o_5_16 ;
wire r32_o_5_14 ;
wire r32_o_5_12 ;
wire r32_o_5_10 ;
wire r32_o_5_8 ;
wire r32_o_5_6 ;
wire r32_o_5_4 ;
wire r32_o_5_2 ;
wire r32_o_5_29 ;
wire r32_o_5_27 ;
wire r32_o_5_25 ;
wire r32_o_5_23 ;
wire r32_o_5_21 ;
wire r32_o_5_19 ;
wire r32_o_5_17 ;
wire r32_o_5_15 ;
wire r32_o_5_13 ;
wire r32_o_5_11 ;
wire r32_o_5_9 ;
wire r32_o_5_7 ;
wire r32_o_5_5 ;
wire r32_o_5_3 ;
wire r32_o_5_0 ;
wire r32_o_5_1 ;
wire r32_o_4_0 ;
wire r32_o_4_1 ;
wire r32_o_4_4 ;
wire r32_o_4_18 ;
wire r32_o_4_23 ;
wire r32_o_4_19 ;
wire r32_o_4_16 ;
wire r32_o_4_2 ;
wire r32_o_4_3 ;
wire wb_we_o_0_0 ;
wire muxa_ctl_o_1 ;
wire muxa_ctl_o_0 ;
wire r32_o_3_0 ;
wire r32_o_3_1 ;
wire r32_o_3_5 ;
wire r32_o_3_6 ;
wire r32_o_3_7 ;
wire r32_o_3_8 ;
wire r32_o_3_9 ;
wire r32_o_3_10 ;
wire r32_o_3_11 ;
wire r32_o_3_12 ;
wire r32_o_3_13 ;
wire r32_o_3_14 ;
wire r32_o_3_15 ;
wire r32_o_3_17 ;
wire r32_o_3_21 ;
wire r32_o_3_22 ;
wire r32_o_3_24 ;
wire r32_o_3_25 ;
wire r32_o_3_26 ;
wire r32_o_3_27 ;
wire r32_o_3_28 ;
wire r32_o_3_29 ;
wire r32_o_3_30 ;
wire r32_o_3_31 ;
wire r32_o_3_20 ;
wire r32_o_3_4 ;
wire r32_o_3_18 ;
wire r32_o_3_23 ;
wire r32_o_3_16 ;
wire r32_o_3_19 ;
wire r32_o_3_2 ;
wire r32_o_3_3 ;
wire r32_o_2_0 ;
wire r32_o_2_1 ;
wire r32_o_2_5 ;
wire r32_o_2_6 ;
wire r32_o_2_7 ;
wire r32_o_2_8 ;
wire r32_o_2_9 ;
wire r32_o_2_10 ;
wire r32_o_2_11 ;
wire r32_o_2_12 ;
wire r32_o_2_13 ;
wire r32_o_2_14 ;
wire r32_o_2_15 ;
wire r32_o_2_17 ;
wire r32_o_2_20 ;
wire r32_o_2_21 ;
wire r32_o_2_22 ;
wire r32_o_2_24 ;
wire r32_o_2_25 ;
wire r32_o_2_26 ;
wire r32_o_2_27 ;
wire r32_o_2_28 ;
wire r32_o_2_29 ;
wire r32_o_2_30 ;
wire r32_o_2_31 ;
wire r32_o_2_4 ;
wire r32_o_2_18 ;
wire wb_we_o_0 ;
wire q_0 ;
wire r5_o_0 ;
wire dout_2_a_0 ;
wire dout_2_a_1 ;
wire dout_2_a_2 ;
wire dout_2_a_3 ;
wire dout_2_a_4 ;
wire dout_2_a_5 ;
wire dout_2_a_6 ;
wire dout_2_a_7 ;
wire dout_2_a_8 ;
wire dout_2_a_9 ;
wire dout_2_a_10 ;
wire dout_2_a_11 ;
wire dout_2_a_12 ;
wire dout_2_a_13 ;
wire dout_2_a_14 ;
wire dout_2_a_15 ;
wire dout_2_a_16 ;
wire dout_2_a_17 ;
wire dout_2_a_18 ;
wire dout_2_a_19 ;
wire dout_2_a_20 ;
wire dout_2_a_21 ;
wire dout_2_a_22 ;
wire dout_2_a_23 ;
wire dout_2_a_24 ;
wire dout_2_a_25 ;
wire dout_2_a_26 ;
wire dout_2_a_27 ;
wire dout_2_a_28 ;
wire dout_2_a_29 ;
wire dout_2_a_30 ;
wire dout_2_a_31 ;
wire r32_o_1_0 ;
wire r32_o_1_1 ;
wire r32_o_1_5 ;
wire r32_o_1_6 ;
wire r32_o_1_7 ;
wire r32_o_1_8 ;
wire r32_o_1_9 ;
wire r32_o_1_10 ;
wire r32_o_1_11 ;
wire r32_o_1_12 ;
wire r32_o_1_13 ;
wire r32_o_1_14 ;
wire r32_o_1_15 ;
wire r32_o_1_17 ;
wire r32_o_1_20 ;
wire r32_o_1_21 ;
wire r32_o_1_22 ;
wire r32_o_1_24 ;
wire r32_o_1_25 ;
wire r32_o_1_26 ;
wire r32_o_1_27 ;
wire r32_o_1_28 ;
wire r32_o_1_29 ;
wire r32_o_1_30 ;
wire r32_o_1_31 ;
wire r32_o_1_23 ;
wire r32_o_1_16 ;
wire r32_o_1_19 ;
wire r32_o_1_2 ;
wire r32_o_1_3 ;
wire c_0 ;
wire c_a_30 ;
wire c_a_5 ;
wire c_a_4 ;
wire c_a_16 ;
wire c_a_8 ;
wire c_a_7 ;
wire c_a_1 ;
wire c_a_22 ;
wire c_a_19 ;
wire c_a_11 ;
wire c_a_10 ;
wire c_a_25 ;
wire c_a_6 ;
wire c_a_0 ;
wire c_a_3 ;
wire c_a_13 ;
wire shift_out_92_2_0_3 ;
wire shift_out_92_2_0_2 ;
wire shift_out_92_2_0_1 ;
wire shift_out_92_2_0_0 ;
wire c_1_31 ;
wire c_1_0 ;
wire c_1_3 ;
wire c_1_27 ;
wire c_1_1 ;
wire c_1_4 ;
wire c_1_25 ;
wire c_1_6 ;
wire c_1_26 ;
wire c_1_10 ;
wire c_1_9 ;
wire c_1_30 ;
wire c_1_8 ;
wire c_1_12 ;
wire c_1_28 ;
wire c_1_18 ;
wire c_1_13 ;
wire c_1_29 ;
wire c_1_14 ;
wire c_1_17 ;
wire c_1_20 ;
wire c_1_2 ;
wire c_1_5 ;
wire c_1_15 ;
wire c_1_21 ;
wire c_1_16 ;
wire c_1_19 ;
wire c_3_0 ;
wire c_3_13 ;
wire c_3_12 ;
wire c_4_0 ;
wire alu_func_o_3 ;
wire alu_func_o_2 ;
wire alu_func_o_0 ;
wire alu_func_o_4 ;
wire alu_func_o_1 ;
wire b_o_iv_11 ;
wire b_o_iv_22 ;
wire b_o_iv_0_d0 ;
wire b_o_iv_1 ;
wire b_o_iv_2 ;
wire b_o_iv_3 ;
wire b_o_iv_4 ;
wire b_o_iv_5 ;
wire b_o_iv_6 ;
wire b_o_iv_7 ;
wire b_o_iv_8 ;
wire b_o_iv_9 ;
wire b_o_iv_10 ;
wire b_o_iv_13 ;
wire b_o_iv_14 ;
wire b_o_iv_15 ;
wire b_o_iv_16 ;
wire b_o_iv_17 ;
wire b_o_iv_18 ;
wire b_o_iv_19 ;
wire b_o_iv_20 ;
wire b_o_iv_31 ;
wire c_0_0 ;
wire hilo_0 ;
wire BUS7117_i_m_0 ;
wire BUS7117_i_m_4 ;
wire BUS7117_i_m_16 ;
wire b_o_iv_0_a5_0 ;
wire b_o_iv_0_a_0 ;
wire b_o_iv_0_a_15 ;
wire b_o_iv_0_a_16 ;
wire wb_o_23 ;
wire wb_o_19 ;
wire wb_o_1 ;
wire wb_o_2 ;
wire wb_o_3 ;
wire wb_o_5 ;
wire wb_o_6 ;
wire wb_o_7 ;
wire wb_o_8 ;
wire wb_o_9 ;
wire wb_o_10 ;
wire wb_o_11 ;
wire wb_o_12 ;
wire wb_o_13 ;
wire wb_o_14 ;
wire wb_o_15 ;
wire wb_o_17 ;
wire wb_o_18 ;
wire wb_o_20 ;
wire wb_o_21 ;
wire wb_o_22 ;
wire wb_o_24 ;
wire wb_o_25 ;
wire wb_o_26 ;
wire wb_o_27 ;
wire wb_o_29 ;
wire wb_o_30 ;
wire wb_o_31 ;
wire wb_o_0 ;
wire wb_o_4 ;
wire wb_o_16 ;
wire wb_o_28 ;
wire b_o_iv_0_0 ;
wire b_o_iv_a_0 ;
wire b_o_iv_a_1 ;
wire b_o_iv_a_2 ;
wire b_o_iv_a_3 ;
wire b_o_iv_a_4 ;
wire b_o_iv_a_5 ;
wire b_o_iv_a_6 ;
wire b_o_iv_a_7 ;
wire b_o_iv_a_8 ;
wire b_o_iv_a_9 ;
wire b_o_iv_a_10 ;
wire b_o_iv_a_11 ;
wire b_o_iv_a_13 ;
wire b_o_iv_a_14 ;
wire b_o_iv_a_15 ;
wire b_o_iv_a_16 ;
wire b_o_iv_a_17 ;
wire b_o_iv_a_18 ;
wire b_o_iv_a_19 ;
wire b_o_iv_a_20 ;
wire b_o_iv_a_21 ;
wire b_o_iv_a_22 ;
wire b_o_iv_a_23 ;
wire b_o_iv_a_24 ;
wire b_o_iv_a_25 ;
wire b_o_iv_a_26 ;
wire b_o_iv_a_29 ;
wire b_o_iv_a_30 ;
wire b_o_iv_a_31 ;
wire BUS15471_i_m_0 ;
wire BUS15471_i_m_1 ;
wire BUS15471_i_m_2 ;
wire BUS15471_i_m_4 ;
wire BUS15471_i_m_5 ;
wire BUS15471_i_m_6 ;
wire BUS15471_i_m_7 ;
wire BUS15471_i_m_8 ;
wire BUS15471_i_m_9 ;
wire BUS15471_i_m_10 ;
wire BUS15471_i_m_12 ;
wire BUS15471_i_m_13 ;
wire BUS15471_i_m_14 ;
wire BUS15471_i_m_16 ;
wire BUS15471_i_m_17 ;
wire BUS15471_i_m_18 ;
wire BUS15471_i_m_19 ;
wire BUS15471_i_m_20 ;
wire BUS15471_i_m_21 ;
wire BUS15471_i_m_22 ;
wire BUS15471_i_m_23 ;
wire BUS15471_i_m_24 ;
wire BUS15471_i_m_25 ;
wire BUS15471_i_m_28 ;
wire BUS15471_i_m_29 ;
wire BUS15471_i_m_30 ;
wire r32_o_0_0 ;
wire r32_o_0_1 ;
wire r32_o_0_4 ;
wire r32_o_0_5 ;
wire r32_o_0_6 ;
wire r32_o_0_7 ;
wire r32_o_0_8 ;
wire r32_o_0_9 ;
wire r32_o_0_10 ;
wire r32_o_0_11 ;
wire r32_o_0_12 ;
wire r32_o_0_13 ;
wire r32_o_0_14 ;
wire r32_o_0_15 ;
wire r32_o_0_16 ;
wire r32_o_0_17 ;
wire r32_o_0_18 ;
wire r32_o_0_19 ;
wire r32_o_0_20 ;
wire r32_o_0_21 ;
wire r32_o_0_22 ;
wire r32_o_0_23 ;
wire r32_o_0_24 ;
wire r32_o_0_25 ;
wire r32_o_0_26 ;
wire r32_o_0_27 ;
wire r32_o_0_28 ;
wire r32_o_0_29 ;
wire r32_o_0_30 ;
wire r32_o_0_31 ;
wire r32_o_0_2 ;
wire r32_o_0_3 ;
wire count_0 ;
wire shift_out_89_2_0_3 ;
wire shift_out_89_2_0_2 ;
wire shift_out_89_2_0_1 ;
wire shift_out_89_2_0_0 ;
wire shift_out_89_4_0_2 ;
wire shift_out_89_4_0_9 ;
wire shift_out_89_4_0_8 ;
wire shift_out_89_4_0_7 ;
wire shift_out_89_4_0_5 ;
wire shift_out_89_4_0_4 ;
wire shift_out_89_4_0_3 ;
wire shift_out_89_4_0_1 ;
wire shift_out_89_4_0_0 ;
wire shift_out_89_4_0_13 ;
wire shift_out_89_4_0_12 ;
wire shift_out_89_4_0_11 ;
wire shift_out_89_4_0_10 ;
wire shift_out_89_4_0_6 ;
wire shift_out_89_28 ;
wire shift_out_89_27 ;
wire shift_out_89_1 ;
wire shift_out_89_0 ;
wire shift_out_89_26 ;
wire shift_out_89_25 ;
wire shift_out_89_23 ;
wire shift_out_89_22 ;
wire shift_out_89_3 ;
wire shift_out_89_2 ;
wire shift_out_92_2 ;
wire shift_out_92_0 ;
wire shift_out_92_25 ;
wire shift_out_92_21 ;
wire shift_out_92_20 ;
wire shift_out_92_18 ;
wire shift_out_92_14 ;
wire shift_out_92_9 ;
wire shift_out_92_12 ;
wire shift_out_92_17 ;
wire shift_out_92_26 ;
wire shift_out_92_24 ;
wire shift_out_92_23 ;
wire shift_out_92_15 ;
wire r32_o_0 ;
wire r32_o_4 ;
wire r32_o_16 ;
wire r32_o_28 ;
wire r32_o_1 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire NET21531_i ;
wire un1_b_o18_2 ;
wire b_o18 ;
wire un1_mux_fw_NE ;
wire un14_mux_fw ;
wire un17_mux_fw_NE ;
wire dout7 ;
wire un30_mux_fw ;
wire mux_fw_1 ;
wire un17_mux_fw_NE_1 ;
wire un17_mux_fw_NE_2 ;
wire shift_out_sn_m31_i ;
wire overflow_2_sqmuxa ;
wire G_230 ;
wire I_159_a ;
wire finish ;
wire op2_sign_reged ;
wire start ;
wire mul ;
wire hilo25 ;
wire rst_c ;
wire rdy ;
wire b_o_1_sqmuxa ;
wire b_o_0_sqmuxa ;
wire clk_c ;
wire m50 ;
wire m51 ;
wire [2:2] BUS2446_cout;
wire [28:28] b_o_iv_0_a5_0_Z;
wire [27:27] b_o_iv_0_a5;
wire [23:16] a_o_i;
wire [31:0] a_o;
wire [18:4] r32_o_1_Z;
wire [23:2] r32_o_2_Z;
wire [1:0] a_o_0;
wire [31:5] r32_o_4_Z;
wire N_1 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:39
  cyclone_lcell BUS2446_2_ (
        .combout(N_1),
        .cout(BUS2446_cout[2]),
        .dataa(r32_o_2),
        .datab(r32_o_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS2446_2_.operation_mode="arithmetic";
defparam BUS2446_2_.output_mode="comb_only";
defparam BUS2446_2_.lut_mask="5588";
defparam BUS2446_2_.synch_mode="off";
defparam BUS2446_2_.sum_lutc_input="datac";
// @5:68
  big_alu MIPS_alu (
        .shift_out_92_15(shift_out_92_15),
        .shift_out_92_23(shift_out_92_23),
        .shift_out_92_24(shift_out_92_24),
        .shift_out_92_26(shift_out_92_26),
        .shift_out_92_17(shift_out_92_17),
        .shift_out_92_12(shift_out_92_12),
        .shift_out_92_9(shift_out_92_9),
        .shift_out_92_14(shift_out_92_14),
        .shift_out_92_18(shift_out_92_18),
        .shift_out_92_20(shift_out_92_20),
        .shift_out_92_21(shift_out_92_21),
        .shift_out_92_25(shift_out_92_25),
        .shift_out_92_0(shift_out_92_0),
        .shift_out_92_2(shift_out_92_2),
        .shift_out_89_4(shift_out_89_2),
        .shift_out_89_5(shift_out_89_3),
        .shift_out_89_24(shift_out_89_22),
        .shift_out_89_25(shift_out_89_23),
        .shift_out_89_27(shift_out_89_25),
        .shift_out_89_28(shift_out_89_26),
        .shift_out_89_2(shift_out_89_0),
        .shift_out_89_3(shift_out_89_1),
        .shift_out_89_29(shift_out_89_27),
        .shift_out_89_30(shift_out_89_28),
        .shift_out_89_4_0_6(shift_out_89_4_0_6),
        .shift_out_89_4_0_10(shift_out_89_4_0_10),
        .shift_out_89_4_0_11(shift_out_89_4_0_11),
        .shift_out_89_4_0_12(shift_out_89_4_0_12),
        .shift_out_89_4_0_13(shift_out_89_4_0_13),
        .shift_out_89_4_0_0(shift_out_89_4_0_0),
        .shift_out_89_4_0_1(shift_out_89_4_0_1),
        .shift_out_89_4_0_3(shift_out_89_4_0_3),
        .shift_out_89_4_0_4(shift_out_89_4_0_4),
        .shift_out_89_4_0_5(shift_out_89_4_0_5),
        .shift_out_89_4_0_7(shift_out_89_4_0_7),
        .shift_out_89_4_0_8(shift_out_89_4_0_8),
        .shift_out_89_4_0_9(shift_out_89_4_0_9),
        .shift_out_89_4_0_2(shift_out_89_4_0_2),
        .shift_out_89_2_0_0(shift_out_89_2_0_0),
        .shift_out_89_2_0_1(shift_out_89_2_0_1),
        .shift_out_89_2_0_2(shift_out_89_2_0_2),
        .shift_out_89_2_0_3(shift_out_89_2_0_3),
        .count_0(count_0),
        .r32_o_30(r32_o_31),
        .r32_o_29(r32_o_30),
        .r32_o_28(r32_o_29),
        .r32_o_26(r32_o_27),
        .r32_o_25(r32_o_26),
        .r32_o_24(r32_o_25),
        .r32_o_23(r32_o_24),
        .r32_o_22(r32_o_23),
        .r32_o_21(r32_o_22),
        .r32_o_20(r32_o_21),
        .r32_o_19(r32_o_20),
        .r32_o_18(r32_o_19),
        .r32_o_17(r32_o_18),
        .r32_o_16(r32_o_17),
        .r32_o_14(r32_o_15),
        .r32_o_13(r32_o_14),
        .r32_o_12(r32_o_13),
        .r32_o_11(r32_o_12),
        .r32_o_10(r32_o_11),
        .r32_o_9(r32_o_10),
        .r32_o_8(r32_o_9),
        .r32_o_7(r32_o_8),
        .r32_o_6(r32_o_7),
        .r32_o_5(r32_o_6),
        .r32_o_4(r32_o_5),
        .r32_o_2(r32_o_0_3),
        .r32_o_1(r32_o_0_2),
        .r32_o_0(r32_o_1),
        .BUS15471_i_m_30(BUS15471_i_m_30),
        .BUS15471_i_m_29(BUS15471_i_m_29),
        .BUS15471_i_m_28(BUS15471_i_m_28),
        .BUS15471_i_m_25(BUS15471_i_m_25),
        .BUS15471_i_m_24(BUS15471_i_m_24),
        .BUS15471_i_m_23(BUS15471_i_m_23),
        .BUS15471_i_m_22(BUS15471_i_m_22),
        .BUS15471_i_m_21(BUS15471_i_m_21),
        .BUS15471_i_m_20(BUS15471_i_m_20),
        .BUS15471_i_m_19(BUS15471_i_m_19),
        .BUS15471_i_m_18(BUS15471_i_m_18),
        .BUS15471_i_m_17(BUS15471_i_m_17),
        .BUS15471_i_m_16(BUS15471_i_m_16),
        .BUS15471_i_m_14(BUS15471_i_m_14),
        .BUS15471_i_m_13(BUS15471_i_m_13),
        .BUS15471_i_m_12(BUS15471_i_m_12),
        .BUS15471_i_m_10(BUS15471_i_m_10),
        .BUS15471_i_m_9(BUS15471_i_m_9),
        .BUS15471_i_m_8(BUS15471_i_m_8),
        .BUS15471_i_m_7(BUS15471_i_m_7),
        .BUS15471_i_m_6(BUS15471_i_m_6),
        .BUS15471_i_m_5(BUS15471_i_m_5),
        .BUS15471_i_m_4(BUS15471_i_m_4),
        .BUS15471_i_m_2(BUS15471_i_m_2),
        .BUS15471_i_m_1(BUS15471_i_m_1),
        .BUS15471_i_m_0(BUS15471_i_m_0),
        .b_o_iv_a_31(b_o_iv_a_31),
        .b_o_iv_a_30(b_o_iv_a_30),
        .b_o_iv_a_29(b_o_iv_a_29),
        .b_o_iv_a_26(b_o_iv_a_26),
        .b_o_iv_a_25(b_o_iv_a_25),
        .b_o_iv_a_24(b_o_iv_a_24),
        .b_o_iv_a_23(b_o_iv_a_23),
        .b_o_iv_a_22(b_o_iv_a_22),
        .b_o_iv_a_21(b_o_iv_a_21),
        .b_o_iv_a_20(b_o_iv_a_20),
        .b_o_iv_a_19(b_o_iv_a_19),
        .b_o_iv_a_18(b_o_iv_a_18),
        .b_o_iv_a_17(b_o_iv_a_17),
        .b_o_iv_a_16(b_o_iv_a_16),
        .b_o_iv_a_15(b_o_iv_a_15),
        .b_o_iv_a_14(b_o_iv_a_14),
        .b_o_iv_a_13(b_o_iv_a_13),
        .b_o_iv_a_11(b_o_iv_a_11),
        .b_o_iv_a_10(b_o_iv_a_10),
        .b_o_iv_a_9(b_o_iv_a_9),
        .b_o_iv_a_8(b_o_iv_a_8),
        .b_o_iv_a_7(b_o_iv_a_7),
        .b_o_iv_a_6(b_o_iv_a_6),
        .b_o_iv_a_5(b_o_iv_a_5),
        .b_o_iv_a_4(b_o_iv_a_4),
        .b_o_iv_a_3(b_o_iv_a_3),
        .b_o_iv_a_2(b_o_iv_a_2),
        .b_o_iv_a_1(b_o_iv_a_1),
        .b_o_iv_a_0(b_o_iv_a_0),
        .b_o_iv_0_0(b_o_iv_0_0),
        .wb_o_28(wb_o_28),
        .wb_o_16(wb_o_16),
        .wb_o_4(wb_o_4),
        .wb_o_0(wb_o_0),
        .b_o_iv_0_a_16(b_o_iv_0_a_16),
        .b_o_iv_0_a_15(b_o_iv_0_a_15),
        .b_o_iv_0_a_0(b_o_iv_0_a_0),
        .b_o_iv_0_a5_0_0(b_o_iv_0_a5_0_Z[28]),
        .b_o_iv_0_a5_15(b_o_iv_0_a5[27]),
        .b_o_iv_0_a5_0_d0(b_o_iv_0_a5_0),
        .BUS7117_i_m_16(BUS7117_i_m_16),
        .BUS7117_i_m_4(BUS7117_i_m_4),
        .BUS7117_i_m_0(BUS7117_i_m_0),
        .hilo_64(hilo_0),
        .a_o_i_0(a_o_i[16]),
        .a_o_i_3(a_o_i[19]),
        .a_o_i_7(a_o_i[23]),
        .c_0_7(c_0_0),
        .b_o_iv_31(b_o_iv_31),
        .b_o_iv_20(b_o_iv_20),
        .b_o_iv_19(b_o_iv_19),
        .b_o_iv_18(b_o_iv_18),
        .b_o_iv_17(b_o_iv_17),
        .b_o_iv_16(b_o_iv_16),
        .b_o_iv_15(b_o_iv_15),
        .b_o_iv_14(b_o_iv_14),
        .b_o_iv_13(b_o_iv_13),
        .b_o_iv_10(b_o_iv_10),
        .b_o_iv_9(b_o_iv_9),
        .b_o_iv_8(b_o_iv_8),
        .b_o_iv_7(b_o_iv_7),
        .b_o_iv_6(b_o_iv_6),
        .b_o_iv_5(b_o_iv_5),
        .b_o_iv_4(b_o_iv_4),
        .b_o_iv_3(b_o_iv_3),
        .b_o_iv_2(b_o_iv_2),
        .b_o_iv_1(b_o_iv_1),
        .b_o_iv_0_d0(b_o_iv_0_d0),
        .b_o_iv_22(b_o_iv_22),
        .b_o_iv_11(b_o_iv_11),
        .alu_func_o_1(alu_func_o_1),
        .alu_func_o_4(alu_func_o_4),
        .alu_func_o_0(alu_func_o_0),
        .alu_func_o_2(alu_func_o_2),
        .alu_func_o_3(alu_func_o_3),
        .c_4_0(c_4_0),
        .c_3_12(c_3_12),
        .c_3_13(c_3_13),
        .c_3_0(c_3_0),
        .a_o_31(a_o[31]),
        .a_o_2(a_o[2]),
        .a_o_10(a_o[10]),
        .a_o_21(a_o[21]),
        .a_o_29(a_o[29]),
        .a_o_5(a_o[5]),
        .a_o_28(a_o[28]),
        .a_o_30(a_o[30]),
        .a_o_1(a_o[1]),
        .a_o_9(a_o[9]),
        .a_o_26(a_o[26]),
        .a_o_17(a_o[17]),
        .a_o_18(a_o[18]),
        .a_o_25(a_o[25]),
        .a_o_4(a_o[4]),
        .a_o_3(a_o[3]),
        .a_o_27(a_o[27]),
        .a_o_7(a_o[7]),
        .a_o_6(a_o[6]),
        .a_o_15(a_o[15]),
        .a_o_13(a_o[13]),
        .a_o_14(a_o[14]),
        .a_o_12(a_o[12]),
        .a_o_8(a_o[8]),
        .a_o_20(a_o[20]),
        .a_o_22(a_o[22]),
        .a_o_11(a_o[11]),
        .a_o_24(a_o[24]),
        .a_o_0(a_o[0]),
        .c_1_19(c_1_19),
        .c_1_16(c_1_16),
        .c_1_21(c_1_21),
        .c_1_15(c_1_15),
        .c_1_5(c_1_5),
        .c_1_2(c_1_2),
        .c_1_20(c_1_20),
        .c_1_17(c_1_17),
        .c_1_14(c_1_14),
        .c_1_29(c_1_29),
        .c_1_13(c_1_13),
        .c_1_18(c_1_18),
        .c_1_28(c_1_28),
        .c_1_12(c_1_12),
        .c_1_8(c_1_8),
        .c_1_30(c_1_30),
        .c_1_9(c_1_9),
        .c_1_10(c_1_10),
        .c_1_26(c_1_26),
        .c_1_6(c_1_6),
        .c_1_25(c_1_25),
        .c_1_4(c_1_4),
        .c_1_1(c_1_1),
        .c_1_27(c_1_27),
        .c_1_3(c_1_3),
        .c_1_0(c_1_0),
        .c_1_31(c_1_31),
        .shift_out_92_2_0_0(shift_out_92_2_0_0),
        .shift_out_92_2_0_1(shift_out_92_2_0_1),
        .shift_out_92_2_0_2(shift_out_92_2_0_2),
        .shift_out_92_2_0_3(shift_out_92_2_0_3),
        .c_a_13(c_a_13),
        .c_a_3(c_a_3),
        .c_a_0(c_a_0),
        .c_a_6(c_a_6),
        .c_a_25(c_a_25),
        .c_a_10(c_a_10),
        .c_a_11(c_a_11),
        .c_a_19(c_a_19),
        .c_a_22(c_a_22),
        .c_a_1(c_a_1),
        .c_a_7(c_a_7),
        .c_a_8(c_a_8),
        .c_a_16(c_a_16),
        .c_a_4(c_a_4),
        .c_a_5(c_a_5),
        .c_a_30(c_a_30),
        .c_0(c_0),
        .m51(m51),
        .m50(m50),
        .clk_c(clk_c),
        .b_o_0_sqmuxa(b_o_0_sqmuxa),
        .b_o_1_sqmuxa(b_o_1_sqmuxa),
        .rdy(rdy),
        .rst_c(rst_c),
        .hilo25(hilo25),
        .mul(mul),
        .start(start),
        .op2_sign_reged(op2_sign_reged),
        .finish(finish),
        .I_159_a(I_159_a),
        .G_230(G_230),
        .overflow_2_sqmuxa(overflow_2_sqmuxa),
        .shift_out_sn_m31_i(shift_out_sn_m31_i)
);
// @5:85
  fwd_mux_2 dmem_fw_mux (
        .r32_o_0_31(r32_o_31),
        .r32_o_0_30(r32_o_30),
        .r32_o_0_29(r32_o_29),
        .r32_o_0_28(r32_o_28),
        .r32_o_0_27(r32_o_27),
        .r32_o_0_26(r32_o_26),
        .r32_o_0_25(r32_o_25),
        .r32_o_0_24(r32_o_24),
        .r32_o_0_23(r32_o_23),
        .r32_o_0_22(r32_o_22),
        .r32_o_0_21(r32_o_21),
        .r32_o_0_20(r32_o_20),
        .r32_o_0_19(r32_o_19),
        .r32_o_0_18(r32_o_18),
        .r32_o_0_17(r32_o_17),
        .r32_o_0_16(r32_o_16),
        .r32_o_0_15(r32_o_15),
        .r32_o_0_14(r32_o_14),
        .r32_o_0_13(r32_o_13),
        .r32_o_0_12(r32_o_12),
        .r32_o_0_11(r32_o_11),
        .r32_o_0_10(r32_o_10),
        .r32_o_0_9(r32_o_9),
        .r32_o_0_8(r32_o_8),
        .r32_o_0_7(r32_o_7),
        .r32_o_0_6(r32_o_6),
        .r32_o_0_5(r32_o_5),
        .r32_o_0_4(r32_o_4),
        .r32_o_0_3(r32_o_0_3),
        .r32_o_0_2(r32_o_0_2),
        .r32_o_0_1(r32_o_1),
        .r32_o_0_0(r32_o_0),
        .r32_o_31(r32_o_0_31),
        .r32_o_30(r32_o_0_30),
        .r32_o_29(r32_o_0_29),
        .r32_o_28(r32_o_0_28),
        .r32_o_27(r32_o_0_27),
        .r32_o_26(r32_o_0_26),
        .r32_o_25(r32_o_0_25),
        .r32_o_24(r32_o_0_24),
        .r32_o_23(r32_o_0_23),
        .r32_o_22(r32_o_0_22),
        .r32_o_21(r32_o_0_21),
        .r32_o_20(r32_o_0_20),
        .r32_o_19(r32_o_0_19),
        .r32_o_18(r32_o_0_18),
        .r32_o_17(r32_o_0_17),
        .r32_o_16(r32_o_0_16),
        .r32_o_15(r32_o_0_15),
        .r32_o_14(r32_o_0_14),
        .r32_o_13(r32_o_0_13),
        .r32_o_12(r32_o_0_12),
        .r32_o_11(r32_o_0_11),
        .r32_o_10(r32_o_0_10),
        .r32_o_9(r32_o_0_9),
        .r32_o_8(r32_o_0_8),
        .r32_o_7(r32_o_0_7),
        .r32_o_6(r32_o_0_6),
        .r32_o_5(r32_o_0_5),
        .r32_o_4(r32_o_0_4),
        .r32_o_3(r32_o_1_3),
        .r32_o_2(r32_o_1_2),
        .r32_o_1(r32_o_0_1),
        .r32_o_0(r32_o_0_0),
        .dout_2_a_31(dout_2_a_31),
        .dout_2_a_30(dout_2_a_30),
        .dout_2_a_29(dout_2_a_29),
        .dout_2_a_28(dout_2_a_28),
        .dout_2_a_27(dout_2_a_27),
        .dout_2_a_26(dout_2_a_26),
        .dout_2_a_25(dout_2_a_25),
        .dout_2_a_24(dout_2_a_24),
        .dout_2_a_23(dout_2_a_23),
        .dout_2_a_22(dout_2_a_22),
        .dout_2_a_21(dout_2_a_21),
        .dout_2_a_20(dout_2_a_20),
        .dout_2_a_19(dout_2_a_19),
        .dout_2_a_18(dout_2_a_18),
        .dout_2_a_17(dout_2_a_17),
        .dout_2_a_16(dout_2_a_16),
        .dout_2_a_15(dout_2_a_15),
        .dout_2_a_14(dout_2_a_14),
        .dout_2_a_13(dout_2_a_13),
        .dout_2_a_12(dout_2_a_12),
        .dout_2_a_11(dout_2_a_11),
        .dout_2_a_10(dout_2_a_10),
        .dout_2_a_9(dout_2_a_9),
        .dout_2_a_8(dout_2_a_8),
        .dout_2_a_7(dout_2_a_7),
        .dout_2_a_6(dout_2_a_6),
        .dout_2_a_5(dout_2_a_5),
        .dout_2_a_4(dout_2_a_4),
        .dout_2_a_3(dout_2_a_3),
        .dout_2_a_2(dout_2_a_2),
        .dout_2_a_1(dout_2_a_1),
        .dout_2_a_0(dout_2_a_0),
        .r5_o_0(r5_o_0),
        .q_0(q_0),
        .wb_we_o_0(wb_we_o_0),
        .un17_mux_fw_NE_2(un17_mux_fw_NE_2),
        .un17_mux_fw_NE_1(un17_mux_fw_NE_1),
        .mux_fw_1(mux_fw_1),
        .un30_mux_fw(un30_mux_fw),
        .dout7(dout7)
);
// @5:96
  alu_muxa i_alu_muxa (
        .r32_o_3_16(r32_o_1_Z[18]),
        .r32_o_3_2(r32_o_1_Z[4]),
        .r32_o_3_1(r32_o_2_Z[3]),
        .r32_o_3_0(r32_o_2_Z[2]),
        .r32_o_3_29(r32_o_31),
        .r32_o_3_28(r32_o_30),
        .r32_o_3_27(r32_o_29),
        .r32_o_3_26(r32_o_28),
        .r32_o_3_25(r32_o_27),
        .r32_o_3_24(r32_o_26),
        .r32_o_3_23(r32_o_25),
        .r32_o_3_22(r32_o_24),
        .r32_o_3_20(r32_o_22),
        .r32_o_3_19(r32_o_21),
        .r32_o_3_18(r32_o_20),
        .r32_o_3_15(r32_o_17),
        .r32_o_3_13(r32_o_15),
        .r32_o_3_12(r32_o_14),
        .r32_o_3_11(r32_o_13),
        .r32_o_3_10(r32_o_12),
        .r32_o_3_9(r32_o_11),
        .r32_o_3_8(r32_o_10),
        .r32_o_3_7(r32_o_9),
        .r32_o_3_6(r32_o_8),
        .r32_o_3_5(r32_o_7),
        .r32_o_3_4(r32_o_6),
        .r32_o_3_3(r32_o_5),
        .r32_o_3_17(r32_o_1_19),
        .r32_o_3_14(r32_o_1_16),
        .r32_o_3_21(r32_o_1_23),
        .r32_o_2_16(r32_o_2_18),
        .r32_o_2_2(r32_o_2_4),
        .r32_o_2_1(r32_o_3_3),
        .r32_o_2_0(r32_o_3_2),
        .r32_o_2_29(r32_o_1_31),
        .r32_o_2_28(r32_o_1_30),
        .r32_o_2_27(r32_o_1_29),
        .r32_o_2_26(r32_o_1_28),
        .r32_o_2_25(r32_o_1_27),
        .r32_o_2_24(r32_o_1_26),
        .r32_o_2_23(r32_o_1_25),
        .r32_o_2_22(r32_o_1_24),
        .r32_o_2_20(r32_o_1_22),
        .r32_o_2_19(r32_o_1_21),
        .r32_o_2_18(r32_o_1_20),
        .r32_o_2_15(r32_o_1_17),
        .r32_o_2_13(r32_o_1_15),
        .r32_o_2_12(r32_o_1_14),
        .r32_o_2_11(r32_o_1_13),
        .r32_o_2_10(r32_o_1_12),
        .r32_o_2_9(r32_o_1_11),
        .r32_o_2_8(r32_o_1_10),
        .r32_o_2_7(r32_o_1_9),
        .r32_o_2_6(r32_o_1_8),
        .r32_o_2_5(r32_o_1_7),
        .r32_o_2_4(r32_o_1_6),
        .r32_o_2_3(r32_o_1_5),
        .r32_o_2_17(r32_o_2_Z[19]),
        .r32_o_2_14(r32_o_2_Z[16]),
        .r32_o_2_21(r32_o_2_Z[23]),
        .r32_o_1_18(r32_o_18),
        .r32_o_1_4(r32_o_4),
        .r32_o_1_3(r32_o_0_3),
        .r32_o_1_2(r32_o_0_2),
        .r32_o_1_1(r32_o_1),
        .r32_o_1_0(r32_o_0),
        .r32_o_1_31(r32_o_2_31),
        .r32_o_1_30(r32_o_2_30),
        .r32_o_1_29(r32_o_2_29),
        .r32_o_1_28(r32_o_2_28),
        .r32_o_1_27(r32_o_2_27),
        .r32_o_1_26(r32_o_2_26),
        .r32_o_1_25(r32_o_2_25),
        .r32_o_1_24(r32_o_2_24),
        .r32_o_1_22(r32_o_2_22),
        .r32_o_1_21(r32_o_2_21),
        .r32_o_1_20(r32_o_2_20),
        .r32_o_1_17(r32_o_2_17),
        .r32_o_1_15(r32_o_2_15),
        .r32_o_1_14(r32_o_2_14),
        .r32_o_1_13(r32_o_2_13),
        .r32_o_1_12(r32_o_2_12),
        .r32_o_1_11(r32_o_2_11),
        .r32_o_1_10(r32_o_2_10),
        .r32_o_1_9(r32_o_2_9),
        .r32_o_1_8(r32_o_2_8),
        .r32_o_1_7(r32_o_2_7),
        .r32_o_1_6(r32_o_2_6),
        .r32_o_1_5(r32_o_2_5),
        .r32_o_1_19(r32_o_3_19),
        .r32_o_1_16(r32_o_3_16),
        .r32_o_1_23(r32_o_3_23),
        .a_o_0_1(a_o_0[1]),
        .a_o_0_0(a_o_0[0]),
        .a_o_20(a_o[20]),
        .a_o_31(a_o[31]),
        .a_o_30(a_o[30]),
        .a_o_29(a_o[29]),
        .a_o_28(a_o[28]),
        .a_o_27(a_o[27]),
        .a_o_26(a_o[26]),
        .a_o_25(a_o[25]),
        .a_o_24(a_o[24]),
        .a_o_22(a_o[22]),
        .a_o_21(a_o[21]),
        .a_o_18(a_o[18]),
        .a_o_17(a_o[17]),
        .a_o_15(a_o[15]),
        .a_o_14(a_o[14]),
        .a_o_13(a_o[13]),
        .a_o_12(a_o[12]),
        .a_o_11(a_o[11]),
        .a_o_10(a_o[10]),
        .a_o_9(a_o[9]),
        .a_o_8(a_o[8]),
        .a_o_7(a_o[7]),
        .a_o_6(a_o[6]),
        .a_o_5(a_o[5]),
        .a_o_4(a_o[4]),
        .a_o_3(a_o[3]),
        .a_o_2(a_o[2]),
        .a_o_1(a_o[1]),
        .a_o_0_d0(a_o[0]),
        .muxa_ctl_o_0(muxa_ctl_o_0),
        .muxa_ctl_o_1(muxa_ctl_o_1),
        .wb_we_o_0_0(wb_we_o_0),
        .wb_we_o_0(wb_we_o_0_0),
        .wb_o_31(wb_o_31),
        .wb_o_30(wb_o_30),
        .wb_o_29(wb_o_29),
        .wb_o_28(wb_o_28),
        .wb_o_27(wb_o_27),
        .wb_o_26(wb_o_26),
        .wb_o_25(wb_o_25),
        .wb_o_24(wb_o_24),
        .wb_o_22(wb_o_22),
        .wb_o_21(wb_o_21),
        .wb_o_20(wb_o_20),
        .wb_o_18(wb_o_18),
        .wb_o_17(wb_o_17),
        .wb_o_15(wb_o_15),
        .wb_o_14(wb_o_14),
        .wb_o_13(wb_o_13),
        .wb_o_12(wb_o_12),
        .wb_o_11(wb_o_11),
        .wb_o_10(wb_o_10),
        .wb_o_9(wb_o_9),
        .wb_o_8(wb_o_8),
        .wb_o_7(wb_o_7),
        .wb_o_6(wb_o_6),
        .wb_o_5(wb_o_5),
        .wb_o_4(wb_o_4),
        .wb_o_3(wb_o_3),
        .wb_o_2(wb_o_2),
        .wb_o_1(wb_o_1),
        .wb_o_0(wb_o_0),
        .wb_o_16(wb_o_16),
        .wb_o_19(wb_o_19),
        .wb_o_23(wb_o_23),
        .r32_o_0_18(r32_o_3_18),
        .r32_o_0_4(r32_o_3_4),
        .r32_o_0_3(r32_o_4_3),
        .r32_o_0_2(r32_o_4_2),
        .r32_o_0_1(r32_o_1_1),
        .r32_o_0_0(r32_o_1_0),
        .r32_o_0_20(r32_o_3_20),
        .r32_o_0_31(r32_o_3_31),
        .r32_o_0_30(r32_o_3_30),
        .r32_o_0_29(r32_o_3_29),
        .r32_o_0_28(r32_o_3_28),
        .r32_o_0_27(r32_o_3_27),
        .r32_o_0_26(r32_o_3_26),
        .r32_o_0_25(r32_o_3_25),
        .r32_o_0_24(r32_o_3_24),
        .r32_o_0_22(r32_o_3_22),
        .r32_o_0_21(r32_o_3_21),
        .r32_o_0_17(r32_o_3_17),
        .r32_o_0_15(r32_o_3_15),
        .r32_o_0_14(r32_o_3_14),
        .r32_o_0_13(r32_o_3_13),
        .r32_o_0_12(r32_o_3_12),
        .r32_o_0_11(r32_o_3_11),
        .r32_o_0_10(r32_o_3_10),
        .r32_o_0_9(r32_o_3_9),
        .r32_o_0_8(r32_o_3_8),
        .r32_o_0_7(r32_o_3_7),
        .r32_o_0_6(r32_o_3_6),
        .r32_o_0_5(r32_o_3_5),
        .r32_o_0_16(r32_o_4_16),
        .r32_o_0_19(r32_o_4_19),
        .r32_o_0_23(r32_o_4_23),
        .r32_o_20(r32_o_4_Z[20]),
        .r32_o_31(r32_o_4_Z[31]),
        .r32_o_30(r32_o_4_Z[30]),
        .r32_o_29(r32_o_4_Z[29]),
        .r32_o_28(r32_o_4_Z[28]),
        .r32_o_27(r32_o_4_Z[27]),
        .r32_o_26(r32_o_4_Z[26]),
        .r32_o_25(r32_o_4_Z[25]),
        .r32_o_24(r32_o_4_Z[24]),
        .r32_o_22(r32_o_4_Z[22]),
        .r32_o_21(r32_o_4_Z[21]),
        .r32_o_18(r32_o_4_18),
        .r32_o_17(r32_o_4_Z[17]),
        .r32_o_15(r32_o_4_Z[15]),
        .r32_o_14(r32_o_4_Z[14]),
        .r32_o_13(r32_o_4_Z[13]),
        .r32_o_12(r32_o_4_Z[12]),
        .r32_o_11(r32_o_4_Z[11]),
        .r32_o_10(r32_o_4_Z[10]),
        .r32_o_9(r32_o_4_Z[9]),
        .r32_o_8(r32_o_4_Z[8]),
        .r32_o_7(r32_o_4_Z[7]),
        .r32_o_6(r32_o_4_Z[6]),
        .r32_o_5(r32_o_4_Z[5]),
        .r32_o_4(r32_o_4_4),
        .r32_o_3(r32_o_5_1),
        .r32_o_2(r32_o_5_0),
        .r32_o_1(r32_o_2_1),
        .r32_o_0(r32_o_2_0),
        .r32_o_16(r32_o_16),
        .r32_o_19(r32_o_19),
        .r32_o_23(r32_o_23),
        .a_o_i_0(a_o_i[16]),
        .a_o_i_3(a_o_i[19]),
        .a_o_i_7(a_o_i[23]),
        .un30_mux_fw(un30_mux_fw),
        .un17_mux_fw_NE(un17_mux_fw_NE),
        .un14_mux_fw(un14_mux_fw),
        .un1_mux_fw_NE(un1_mux_fw_NE)
);
// @5:111
  alu_muxb i_alu_muxb (
        .r32_o_0(r32_o_0_28),
        .b_o_iv_0_a5_0_0(b_o_iv_0_a5_0_Z[28]),
        .wb_o_15(wb_o_27),
        .wb_o_0(wb_o_12),
        .b_o_iv_0_a5_15(b_o_iv_0_a5[27]),
        .b_o_iv_0_a5_0_d0(b_o_iv_0_a5_0),
        .muxb_ctl_o_0(muxb_ctl_o_0),
        .muxb_ctl_o_1(muxb_ctl_o_1),
        .b_o18(b_o18),
        .b_o_1_sqmuxa(b_o_1_sqmuxa),
        .b_o_0_sqmuxa(b_o_0_sqmuxa),
        .dout7(dout7),
        .mux_fw_1(mux_fw_1),
        .un1_b_o18_2(un1_b_o18_2)
);
// @5:124
  r32_reg pc_nxt (
        .BUS2446_cout_0(BUS2446_cout[2]),
        .r32_o_0_2(r32_o_2_Z[2]),
        .r32_o_0_3(r32_o_3),
        .r32_o_0_4(r32_o_1_Z[4]),
        .r32_o_0_5(r32_o_5_3),
        .r32_o_0_6(r32_o_4_Z[6]),
        .r32_o_0_7(r32_o_5_5),
        .r32_o_0_8(r32_o_4_Z[8]),
        .r32_o_0_9(r32_o_5_7),
        .r32_o_0_10(r32_o_4_Z[10]),
        .r32_o_0_11(r32_o_5_9),
        .r32_o_0_12(r32_o_4_Z[12]),
        .r32_o_0_13(r32_o_5_11),
        .r32_o_0_14(r32_o_4_Z[14]),
        .r32_o_0_15(r32_o_5_13),
        .r32_o_0_16(r32_o_2_Z[16]),
        .r32_o_0_17(r32_o_5_15),
        .r32_o_0_18(r32_o_1_Z[18]),
        .r32_o_0_19(r32_o_5_17),
        .r32_o_0_20(r32_o_4_Z[20]),
        .r32_o_0_21(r32_o_5_19),
        .r32_o_0_22(r32_o_4_Z[22]),
        .r32_o_0_23(r32_o_5_21),
        .r32_o_0_24(r32_o_4_Z[24]),
        .r32_o_0_25(r32_o_5_23),
        .r32_o_0_26(r32_o_4_Z[26]),
        .r32_o_0_27(r32_o_5_25),
        .r32_o_0_28(r32_o_4_Z[28]),
        .r32_o_0_29(r32_o_5_27),
        .r32_o_0_30(r32_o_4_Z[30]),
        .r32_o_0_31(r32_o_5_29),
        .r32_o_0_1(r32_o_3_1),
        .r32_o_0_0(r32_o_3_0),
        .muxa_ctl_o_0(muxa_ctl_o_1),
        .r32_o_2(r32_o_2),
        .r32_o_3(r32_o_2_Z[3]),
        .r32_o_4(r32_o_5_2),
        .r32_o_5(r32_o_4_Z[5]),
        .r32_o_6(r32_o_5_4),
        .r32_o_7(r32_o_4_Z[7]),
        .r32_o_8(r32_o_5_6),
        .r32_o_9(r32_o_4_Z[9]),
        .r32_o_10(r32_o_5_8),
        .r32_o_11(r32_o_4_Z[11]),
        .r32_o_12(r32_o_5_10),
        .r32_o_13(r32_o_4_Z[13]),
        .r32_o_14(r32_o_5_12),
        .r32_o_15(r32_o_4_Z[15]),
        .r32_o_16(r32_o_5_14),
        .r32_o_17(r32_o_4_Z[17]),
        .r32_o_18(r32_o_5_16),
        .r32_o_19(r32_o_2_Z[19]),
        .r32_o_20(r32_o_5_18),
        .r32_o_21(r32_o_4_Z[21]),
        .r32_o_22(r32_o_5_20),
        .r32_o_23(r32_o_2_Z[23]),
        .r32_o_24(r32_o_5_22),
        .r32_o_25(r32_o_4_Z[25]),
        .r32_o_26(r32_o_5_24),
        .r32_o_27(r32_o_4_Z[27]),
        .r32_o_28(r32_o_5_26),
        .r32_o_29(r32_o_4_Z[29]),
        .r32_o_30(r32_o_5_28),
        .r32_o_31(r32_o_4_Z[31]),
        .r32_o_1(r32_o_4_1),
        .r32_o_0(r32_o_4_0),
        .a_o_0_1(a_o_0[1]),
        .a_o_0_0(a_o_0[0]),
        .clk_c(clk_c)
);
// @5:133
  r32_reg_cls spc (
        .r32_o_0_0(r32_o_3_0),
        .r32_o_0_1(r32_o_3_1),
        .r32_o_0_2(r32_o_2),
        .r32_o_0_3(r32_o_3),
        .r32_o_0_4(r32_o_5_2),
        .r32_o_0_5(r32_o_5_3),
        .r32_o_0_6(r32_o_5_4),
        .r32_o_0_7(r32_o_5_5),
        .r32_o_0_8(r32_o_5_6),
        .r32_o_0_9(r32_o_5_7),
        .r32_o_0_10(r32_o_5_8),
        .r32_o_0_11(r32_o_5_9),
        .r32_o_0_12(r32_o_5_10),
        .r32_o_0_13(r32_o_5_11),
        .r32_o_0_14(r32_o_5_12),
        .r32_o_0_15(r32_o_5_13),
        .r32_o_0_16(r32_o_5_14),
        .r32_o_0_17(r32_o_5_15),
        .r32_o_0_18(r32_o_5_16),
        .r32_o_0_19(r32_o_5_17),
        .r32_o_0_20(r32_o_5_18),
        .r32_o_0_21(r32_o_5_19),
        .r32_o_0_22(r32_o_5_20),
        .r32_o_0_23(r32_o_5_21),
        .r32_o_0_24(r32_o_5_22),
        .r32_o_0_25(r32_o_5_23),
        .r32_o_0_26(r32_o_5_24),
        .r32_o_0_27(r32_o_5_25),
        .r32_o_0_28(r32_o_5_26),
        .r32_o_0_29(r32_o_5_27),
        .r32_o_0_30(r32_o_5_28),
        .r32_o_0_31(r32_o_5_29),
        .r32_o_0(r32_o_2_0),
        .r32_o_1(r32_o_2_1),
        .r32_o_2(r32_o_5_0),
        .r32_o_3(r32_o_5_1),
        .r32_o_4(r32_o_4_4),
        .r32_o_5(r32_o_2_5),
        .r32_o_6(r32_o_2_6),
        .r32_o_7(r32_o_2_7),
        .r32_o_8(r32_o_2_8),
        .r32_o_9(r32_o_2_9),
        .r32_o_10(r32_o_2_10),
        .r32_o_11(r32_o_2_11),
        .r32_o_12(r32_o_2_12),
        .r32_o_13(r32_o_2_13),
        .r32_o_14(r32_o_2_14),
        .r32_o_15(r32_o_2_15),
        .r32_o_16(r32_o_1_16),
        .r32_o_17(r32_o_2_17),
        .r32_o_18(r32_o_4_18),
        .r32_o_19(r32_o_1_19),
        .r32_o_20(r32_o_2_20),
        .r32_o_21(r32_o_2_21),
        .r32_o_22(r32_o_2_22),
        .r32_o_23(r32_o_1_23),
        .r32_o_24(r32_o_2_24),
        .r32_o_25(r32_o_2_25),
        .r32_o_26(r32_o_2_26),
        .r32_o_27(r32_o_2_27),
        .r32_o_28(r32_o_2_28),
        .r32_o_29(r32_o_2_29),
        .r32_o_30(r32_o_2_30),
        .r32_o_31(r32_o_2_31),
        .NET21531_i(NET21531_i),
        .clk_c(clk_c)
);
endmodule /* exec_stage */

// VQM4.1+ 
module r32_reg_1 (
  alu_func_o_0,
  c_0_0,
  shift_out_89_4_0_0,
  shift_out_89_4_0_1,
  shift_out_89_4_0_2,
  shift_out_89_4_0_3,
  shift_out_89_4_0_4,
  shift_out_89_4_0_5,
  shift_out_89_4_0_6,
  shift_out_89_4_0_7,
  shift_out_89_4_0_8,
  shift_out_89_4_0_9,
  shift_out_89_4_0_10,
  shift_out_89_4_0_11,
  shift_out_89_4_0_12,
  shift_out_89_4_0_13,
  c_4_0,
  shift_out_89_2_0_0,
  shift_out_89_2_0_1,
  shift_out_89_2_0_2,
  shift_out_89_2_0_3,
  c_3_0,
  c_3_12,
  c_3_13,
  shift_out_92_0,
  shift_out_92_2,
  shift_out_92_9,
  shift_out_92_12,
  shift_out_92_14,
  shift_out_92_15,
  shift_out_92_17,
  shift_out_92_18,
  shift_out_92_20,
  shift_out_92_21,
  shift_out_92_23,
  shift_out_92_24,
  shift_out_92_25,
  shift_out_92_26,
  shift_out_89_0,
  shift_out_89_1,
  shift_out_89_2,
  shift_out_89_3,
  shift_out_89_22,
  shift_out_89_23,
  shift_out_89_25,
  shift_out_89_26,
  shift_out_89_27,
  shift_out_89_28,
  c_0,
  c_1,
  c_2,
  c_3,
  c_4,
  c_5,
  c_6,
  c_7,
  c_8,
  c_9,
  c_10,
  c_11,
  c_12,
  c_13,
  c_14,
  c_15,
  c_16,
  c_17,
  c_18,
  c_19,
  c_20,
  c_21,
  c_22,
  c_23,
  c_24,
  c_25,
  c_26,
  c_27,
  c_28,
  c_29,
  c_1_0,
  c_1_1,
  c_1_2,
  c_1_3,
  c_1_4,
  c_1_5,
  c_1_6,
  c_1_8,
  c_1_9,
  c_1_10,
  c_1_12,
  c_1_13,
  c_1_14,
  c_1_15,
  c_1_16,
  c_1_17,
  c_1_18,
  c_1_19,
  c_1_20,
  c_1_21,
  c_1_25,
  c_1_26,
  c_1_27,
  c_1_28,
  c_1_29,
  c_1_30,
  c_1_31,
  shift_out_92_2_0_0,
  shift_out_92_2_0_1,
  shift_out_92_2_0_2,
  shift_out_92_2_0_3,
  c_a_0,
  c_a_1,
  c_a_3,
  c_a_4,
  c_a_5,
  c_a_6,
  c_a_7,
  c_a_8,
  c_a_10,
  c_a_11,
  c_a_13,
  c_a_16,
  c_a_19,
  c_a_22,
  c_a_25,
  c_a_30,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  m51,
  m50,
  shift_out_sn_m31_i,
  clk_c
);
input alu_func_o_0 ;
input c_0_0 ;
input shift_out_89_4_0_0 ;
input shift_out_89_4_0_1 ;
input shift_out_89_4_0_2 ;
input shift_out_89_4_0_3 ;
input shift_out_89_4_0_4 ;
input shift_out_89_4_0_5 ;
input shift_out_89_4_0_6 ;
input shift_out_89_4_0_7 ;
input shift_out_89_4_0_8 ;
input shift_out_89_4_0_9 ;
input shift_out_89_4_0_10 ;
input shift_out_89_4_0_11 ;
input shift_out_89_4_0_12 ;
input shift_out_89_4_0_13 ;
input c_4_0 ;
input shift_out_89_2_0_0 ;
input shift_out_89_2_0_1 ;
input shift_out_89_2_0_2 ;
input shift_out_89_2_0_3 ;
input c_3_0 ;
input c_3_12 ;
input c_3_13 ;
input shift_out_92_0 ;
input shift_out_92_2 ;
input shift_out_92_9 ;
input shift_out_92_12 ;
input shift_out_92_14 ;
input shift_out_92_15 ;
input shift_out_92_17 ;
input shift_out_92_18 ;
input shift_out_92_20 ;
input shift_out_92_21 ;
input shift_out_92_23 ;
input shift_out_92_24 ;
input shift_out_92_25 ;
input shift_out_92_26 ;
input shift_out_89_0 ;
input shift_out_89_1 ;
input shift_out_89_2 ;
input shift_out_89_3 ;
input shift_out_89_22 ;
input shift_out_89_23 ;
input shift_out_89_25 ;
input shift_out_89_26 ;
input shift_out_89_27 ;
input shift_out_89_28 ;
output c_0 ;
output c_1 ;
output c_2 ;
output c_3 ;
output c_4 ;
output c_5 ;
output c_6 ;
output c_7 ;
output c_8 ;
output c_9 ;
output c_10 ;
output c_11 ;
output c_12 ;
output c_13 ;
output c_14 ;
output c_15 ;
output c_16 ;
output c_17 ;
output c_18 ;
output c_19 ;
output c_20 ;
output c_21 ;
output c_22 ;
output c_23 ;
output c_24 ;
output c_25 ;
output c_26 ;
output c_27 ;
output c_28 ;
output c_29 ;
input c_1_0 ;
input c_1_1 ;
input c_1_2 ;
input c_1_3 ;
input c_1_4 ;
input c_1_5 ;
input c_1_6 ;
input c_1_8 ;
input c_1_9 ;
input c_1_10 ;
input c_1_12 ;
input c_1_13 ;
input c_1_14 ;
input c_1_15 ;
input c_1_16 ;
input c_1_17 ;
input c_1_18 ;
input c_1_19 ;
input c_1_20 ;
input c_1_21 ;
input c_1_25 ;
input c_1_26 ;
input c_1_27 ;
input c_1_28 ;
input c_1_29 ;
input c_1_30 ;
input c_1_31 ;
input shift_out_92_2_0_0 ;
input shift_out_92_2_0_1 ;
input shift_out_92_2_0_2 ;
input shift_out_92_2_0_3 ;
input c_a_0 ;
input c_a_1 ;
input c_a_3 ;
input c_a_4 ;
input c_a_5 ;
input c_a_6 ;
input c_a_7 ;
input c_a_8 ;
input c_a_10 ;
input c_a_11 ;
input c_a_13 ;
input c_a_16 ;
input c_a_19 ;
input c_a_22 ;
input c_a_25 ;
input c_a_30 ;
output r32_o_0 ;
output r32_o_1 ;
output r32_o_2 ;
output r32_o_3 ;
output r32_o_4 ;
output r32_o_5 ;
output r32_o_6 ;
output r32_o_7 ;
output r32_o_8 ;
output r32_o_9 ;
output r32_o_10 ;
output r32_o_11 ;
output r32_o_12 ;
output r32_o_13 ;
output r32_o_14 ;
output r32_o_15 ;
output r32_o_16 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_30 ;
output r32_o_31 ;
input m51 ;
input m50 ;
input shift_out_sn_m31_i ;
input clk_c ;
wire alu_func_o_0 ;
wire c_0_0 ;
wire shift_out_89_4_0_0 ;
wire shift_out_89_4_0_1 ;
wire shift_out_89_4_0_2 ;
wire shift_out_89_4_0_3 ;
wire shift_out_89_4_0_4 ;
wire shift_out_89_4_0_5 ;
wire shift_out_89_4_0_6 ;
wire shift_out_89_4_0_7 ;
wire shift_out_89_4_0_8 ;
wire shift_out_89_4_0_9 ;
wire shift_out_89_4_0_10 ;
wire shift_out_89_4_0_11 ;
wire shift_out_89_4_0_12 ;
wire shift_out_89_4_0_13 ;
wire c_4_0 ;
wire shift_out_89_2_0_0 ;
wire shift_out_89_2_0_1 ;
wire shift_out_89_2_0_2 ;
wire shift_out_89_2_0_3 ;
wire c_3_0 ;
wire c_3_12 ;
wire c_3_13 ;
wire shift_out_92_0 ;
wire shift_out_92_2 ;
wire shift_out_92_9 ;
wire shift_out_92_12 ;
wire shift_out_92_14 ;
wire shift_out_92_15 ;
wire shift_out_92_17 ;
wire shift_out_92_18 ;
wire shift_out_92_20 ;
wire shift_out_92_21 ;
wire shift_out_92_23 ;
wire shift_out_92_24 ;
wire shift_out_92_25 ;
wire shift_out_92_26 ;
wire shift_out_89_0 ;
wire shift_out_89_1 ;
wire shift_out_89_2 ;
wire shift_out_89_3 ;
wire shift_out_89_22 ;
wire shift_out_89_23 ;
wire shift_out_89_25 ;
wire shift_out_89_26 ;
wire shift_out_89_27 ;
wire shift_out_89_28 ;
wire c_0 ;
wire c_1 ;
wire c_2 ;
wire c_3 ;
wire c_4 ;
wire c_5 ;
wire c_6 ;
wire c_7 ;
wire c_8 ;
wire c_9 ;
wire c_10 ;
wire c_11 ;
wire c_12 ;
wire c_13 ;
wire c_14 ;
wire c_15 ;
wire c_16 ;
wire c_17 ;
wire c_18 ;
wire c_19 ;
wire c_20 ;
wire c_21 ;
wire c_22 ;
wire c_23 ;
wire c_24 ;
wire c_25 ;
wire c_26 ;
wire c_27 ;
wire c_28 ;
wire c_29 ;
wire c_1_0 ;
wire c_1_1 ;
wire c_1_2 ;
wire c_1_3 ;
wire c_1_4 ;
wire c_1_5 ;
wire c_1_6 ;
wire c_1_8 ;
wire c_1_9 ;
wire c_1_10 ;
wire c_1_12 ;
wire c_1_13 ;
wire c_1_14 ;
wire c_1_15 ;
wire c_1_16 ;
wire c_1_17 ;
wire c_1_18 ;
wire c_1_19 ;
wire c_1_20 ;
wire c_1_21 ;
wire c_1_25 ;
wire c_1_26 ;
wire c_1_27 ;
wire c_1_28 ;
wire c_1_29 ;
wire c_1_30 ;
wire c_1_31 ;
wire shift_out_92_2_0_0 ;
wire shift_out_92_2_0_1 ;
wire shift_out_92_2_0_2 ;
wire shift_out_92_2_0_3 ;
wire c_a_0 ;
wire c_a_1 ;
wire c_a_3 ;
wire c_a_4 ;
wire c_a_5 ;
wire c_a_6 ;
wire c_a_7 ;
wire c_a_8 ;
wire c_a_10 ;
wire c_a_11 ;
wire c_a_13 ;
wire c_a_16 ;
wire c_a_19 ;
wire c_a_22 ;
wire c_a_25 ;
wire c_a_30 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire m51 ;
wire m50 ;
wire shift_out_sn_m31_i ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_31__Z (
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(c_a_30),
        .datac(shift_out_92_2_0_3),
        .datad(c_1_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_only";
defparam r32_o_31__Z.lut_mask="ffb1";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .combout(c_29),
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_28),
        .datac(shift_out_92_2_0_2),
        .datad(c_1_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_and_comb";
defparam r32_o_30__Z.lut_mask="ffe4";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .combout(c_28),
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_92_2_0_1),
        .datac(shift_out_89_27),
        .datad(c_1_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_and_comb";
defparam r32_o_29__Z.lut_mask="ffd8";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .combout(c_27),
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_92_2_0_0),
        .datac(shift_out_89_26),
        .datad(c_1_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_and_comb";
defparam r32_o_28__Z.lut_mask="ffd8";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .combout(c_26),
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_92_26),
        .datac(shift_out_89_25),
        .datad(c_1_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_and_comb";
defparam r32_o_27__Z.lut_mask="ffd8";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .combout(c_25),
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_92_25),
        .datac(c_a_25),
        .datad(c_1_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_and_comb";
defparam r32_o_26__Z.lut_mask="ff8d";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .combout(c_24),
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_92_24),
        .datac(shift_out_89_23),
        .datad(c_1_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_and_comb";
defparam r32_o_25__Z.lut_mask="ffd8";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .combout(c_23),
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_22),
        .datac(shift_out_92_23),
        .datad(c_3_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_and_comb";
defparam r32_o_24__Z.lut_mask="ffe4";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .combout(c_22),
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_2_0_3),
        .datac(c_a_22),
        .datad(c_3_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_and_comb";
defparam r32_o_23__Z.lut_mask="ff4e";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .combout(c_21),
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_2_0_2),
        .datac(shift_out_92_21),
        .datad(c_4_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_and_comb";
defparam r32_o_22__Z.lut_mask="ffe4";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .combout(c_20),
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_2_0_1),
        .datac(shift_out_92_20),
        .datad(c_1_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_and_comb";
defparam r32_o_21__Z.lut_mask="ffe4";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .combout(c_19),
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_2_0_0),
        .datac(c_a_19),
        .datad(c_1_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_and_comb";
defparam r32_o_20__Z.lut_mask="ff4e";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .combout(c_18),
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_13),
        .datac(shift_out_92_18),
        .datad(c_1_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_and_comb";
defparam r32_o_19__Z.lut_mask="ffe4";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .combout(c_17),
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_12),
        .datac(shift_out_92_17),
        .datad(c_1_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_and_comb";
defparam r32_o_18__Z.lut_mask="ffe4";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .combout(c_16),
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_11),
        .datac(c_a_16),
        .datad(c_1_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_and_comb";
defparam r32_o_17__Z.lut_mask="ff4e";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .combout(c_15),
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_10),
        .datac(c_1_16),
        .datad(shift_out_92_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_and_comb";
defparam r32_o_16__Z.lut_mask="fef4";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .combout(c_14),
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_9),
        .datac(shift_out_92_14),
        .datad(c_1_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_and_comb";
defparam r32_o_15__Z.lut_mask="ffe4";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .combout(c_13),
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_8),
        .datac(c_a_13),
        .datad(c_1_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_and_comb";
defparam r32_o_14__Z.lut_mask="ff4e";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .combout(c_12),
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_7),
        .datac(c_1_13),
        .datad(shift_out_92_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_and_comb";
defparam r32_o_13__Z.lut_mask="fef4";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .combout(c_11),
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_6),
        .datac(c_a_11),
        .datad(c_1_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_and_comb";
defparam r32_o_12__Z.lut_mask="ff4e";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .combout(c_10),
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_5),
        .datac(c_a_10),
        .datad(c_3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_and_comb";
defparam r32_o_11__Z.lut_mask="ff4e";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .combout(c_9),
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_4),
        .datac(shift_out_92_9),
        .datad(c_1_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_and_comb";
defparam r32_o_10__Z.lut_mask="ffe4";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .combout(c_8),
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_3),
        .datac(c_1_9),
        .datad(c_a_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_and_comb";
defparam r32_o_9__Z.lut_mask="f4fe";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .combout(c_7),
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_2),
        .datac(c_1_8),
        .datad(c_a_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_and_comb";
defparam r32_o_8__Z.lut_mask="f4fe";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .combout(c_6),
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_1),
        .datac(c_a_6),
        .datad(c_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_and_comb";
defparam r32_o_7__Z.lut_mask="ff4e";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .combout(c_5),
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_4_0_0),
        .datac(c_a_5),
        .datad(c_1_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_and_comb";
defparam r32_o_6__Z.lut_mask="ff4e";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .combout(c_4),
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_3),
        .datac(c_1_5),
        .datad(c_a_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_and_comb";
defparam r32_o_5__Z.lut_mask="f4fe";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .combout(c_3),
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_2),
        .datac(c_1_4),
        .datad(c_a_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_and_comb";
defparam r32_o_4__Z.lut_mask="f4fe";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .combout(c_2),
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(shift_out_sn_m31_i),
        .datab(shift_out_89_1),
        .datac(shift_out_92_2),
        .datad(c_1_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_and_comb";
defparam r32_o_3__Z.lut_mask="ffe4";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .combout(c_1),
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(shift_out_89_0),
        .datab(shift_out_sn_m31_i),
        .datac(c_1_2),
        .datad(c_a_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_and_comb";
defparam r32_o_2__Z.lut_mask="f2fe";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .combout(c_0),
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(c_a_0),
        .datab(shift_out_sn_m31_i),
        .datac(c_1_1),
        .datad(shift_out_92_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_and_comb";
defparam r32_o_1__Z.lut_mask="fdf1";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_0__Z (
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(alu_func_o_0),
        .datab(m50),
        .datac(c_1_0),
        .datad(m51),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_only";
defparam r32_o_0__Z.lut_mask="fdf8";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_1 */

// VQM4.1+ 
module r32_reg_2 (
  r32_o_0_0,
  r32_o_0_1,
  r32_o_0_2,
  r32_o_0_3,
  r32_o_0_4,
  r32_o_0_5,
  r32_o_0_6,
  r32_o_0_7,
  r32_o_0_8,
  r32_o_0_9,
  r32_o_0_10,
  r32_o_0_11,
  r32_o_0_12,
  r32_o_0_13,
  r32_o_0_14,
  r32_o_0_15,
  r32_o_0_16,
  r32_o_0_17,
  r32_o_0_18,
  r32_o_0_19,
  r32_o_0_20,
  r32_o_0_21,
  r32_o_0_22,
  r32_o_0_23,
  r32_o_0_24,
  r32_o_0_25,
  r32_o_0_26,
  r32_o_0_27,
  r32_o_0_28,
  r32_o_0_29,
  r32_o_0_30,
  r32_o_0_31,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  clk_c
);
input r32_o_0_0 ;
input r32_o_0_1 ;
input r32_o_0_2 ;
input r32_o_0_3 ;
input r32_o_0_4 ;
input r32_o_0_5 ;
input r32_o_0_6 ;
input r32_o_0_7 ;
input r32_o_0_8 ;
input r32_o_0_9 ;
input r32_o_0_10 ;
input r32_o_0_11 ;
input r32_o_0_12 ;
input r32_o_0_13 ;
input r32_o_0_14 ;
input r32_o_0_15 ;
input r32_o_0_16 ;
input r32_o_0_17 ;
input r32_o_0_18 ;
input r32_o_0_19 ;
input r32_o_0_20 ;
input r32_o_0_21 ;
input r32_o_0_22 ;
input r32_o_0_23 ;
input r32_o_0_24 ;
input r32_o_0_25 ;
input r32_o_0_26 ;
input r32_o_0_27 ;
input r32_o_0_28 ;
input r32_o_0_29 ;
input r32_o_0_30 ;
input r32_o_0_31 ;
output r32_o_0 ;
output r32_o_1 ;
output r32_o_2 ;
output r32_o_3 ;
output r32_o_4 ;
output r32_o_5 ;
output r32_o_6 ;
output r32_o_7 ;
output r32_o_8 ;
output r32_o_9 ;
output r32_o_10 ;
output r32_o_11 ;
output r32_o_12 ;
output r32_o_13 ;
output r32_o_14 ;
output r32_o_15 ;
output r32_o_16 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_30 ;
output r32_o_31 ;
input clk_c ;
wire r32_o_0_0 ;
wire r32_o_0_1 ;
wire r32_o_0_2 ;
wire r32_o_0_3 ;
wire r32_o_0_4 ;
wire r32_o_0_5 ;
wire r32_o_0_6 ;
wire r32_o_0_7 ;
wire r32_o_0_8 ;
wire r32_o_0_9 ;
wire r32_o_0_10 ;
wire r32_o_0_11 ;
wire r32_o_0_12 ;
wire r32_o_0_13 ;
wire r32_o_0_14 ;
wire r32_o_0_15 ;
wire r32_o_0_16 ;
wire r32_o_0_17 ;
wire r32_o_0_18 ;
wire r32_o_0_19 ;
wire r32_o_0_20 ;
wire r32_o_0_21 ;
wire r32_o_0_22 ;
wire r32_o_0_23 ;
wire r32_o_0_24 ;
wire r32_o_0_25 ;
wire r32_o_0_26 ;
wire r32_o_0_27 ;
wire r32_o_0_28 ;
wire r32_o_0_29 ;
wire r32_o_0_30 ;
wire r32_o_0_31 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_31__Z (
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_only";
defparam r32_o_31__Z.lut_mask="ff00";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_only";
defparam r32_o_30__Z.lut_mask="ff00";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_only";
defparam r32_o_29__Z.lut_mask="ff00";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_only";
defparam r32_o_28__Z.lut_mask="ff00";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_only";
defparam r32_o_27__Z.lut_mask="ff00";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_only";
defparam r32_o_26__Z.lut_mask="ff00";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_only";
defparam r32_o_25__Z.lut_mask="ff00";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_only";
defparam r32_o_24__Z.lut_mask="ff00";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_only";
defparam r32_o_23__Z.lut_mask="ff00";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_only";
defparam r32_o_22__Z.lut_mask="ff00";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_only";
defparam r32_o_21__Z.lut_mask="ff00";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_only";
defparam r32_o_20__Z.lut_mask="ff00";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_only";
defparam r32_o_19__Z.lut_mask="ff00";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_only";
defparam r32_o_18__Z.lut_mask="ff00";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_only";
defparam r32_o_17__Z.lut_mask="ff00";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_only";
defparam r32_o_16__Z.lut_mask="ff00";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_only";
defparam r32_o_15__Z.lut_mask="ff00";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_only";
defparam r32_o_14__Z.lut_mask="ff00";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_only";
defparam r32_o_13__Z.lut_mask="ff00";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_only";
defparam r32_o_12__Z.lut_mask="ff00";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_only";
defparam r32_o_11__Z.lut_mask="ff00";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_only";
defparam r32_o_10__Z.lut_mask="ff00";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_only";
defparam r32_o_9__Z.lut_mask="ff00";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_only";
defparam r32_o_8__Z.lut_mask="ff00";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_only";
defparam r32_o_7__Z.lut_mask="ff00";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_only";
defparam r32_o_6__Z.lut_mask="ff00";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_only";
defparam r32_o_5__Z.lut_mask="ff00";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_only";
defparam r32_o_4__Z.lut_mask="ff00";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_only";
defparam r32_o_3__Z.lut_mask="ff00";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_only";
defparam r32_o_2__Z.lut_mask="ff00";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_only";
defparam r32_o_1__Z.lut_mask="ff00";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_0__Z (
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_only";
defparam r32_o_0__Z.lut_mask="ff00";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_2 */

// VQM4.1+ 
module r32_reg_3 (
  dout_2_a_0,
  dout_2_a_1,
  dout_2_a_2,
  dout_2_a_3,
  dout_2_a_4,
  dout_2_a_5,
  dout_2_a_6,
  dout_2_a_7,
  dout_2_a_8,
  dout_2_a_9,
  dout_2_a_10,
  dout_2_a_11,
  dout_2_a_12,
  dout_2_a_13,
  dout_2_a_14,
  dout_2_a_15,
  dout_2_a_16,
  dout_2_a_17,
  dout_2_a_18,
  dout_2_a_19,
  dout_2_a_20,
  dout_2_a_21,
  dout_2_a_22,
  dout_2_a_23,
  dout_2_a_24,
  dout_2_a_25,
  dout_2_a_26,
  dout_2_a_27,
  dout_2_a_28,
  dout_2_a_29,
  dout_2_a_30,
  dout_2_a_31,
  wb_o_0,
  wb_o_1,
  wb_o_2,
  wb_o_3,
  wb_o_4,
  wb_o_5,
  wb_o_6,
  wb_o_7,
  wb_o_8,
  wb_o_9,
  wb_o_10,
  wb_o_11,
  wb_o_12,
  wb_o_13,
  wb_o_14,
  wb_o_15,
  wb_o_16,
  wb_o_17,
  wb_o_18,
  wb_o_19,
  wb_o_20,
  wb_o_21,
  wb_o_22,
  wb_o_23,
  wb_o_24,
  wb_o_25,
  wb_o_26,
  wb_o_27,
  wb_o_28,
  wb_o_29,
  wb_o_30,
  wb_o_31,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  dout_2_0,
  dout_2_1,
  dout_2_2,
  dout_2_3,
  dout_2_4,
  dout_2_5,
  dout_2_6,
  dout_2_7,
  dout_2_8,
  dout_2_9,
  dout_2_10,
  dout_2_11,
  dout_2_12,
  dout_2_13,
  dout_2_14,
  dout_2_15,
  dout_2_16,
  dout_2_17,
  dout_2_18,
  dout_2_19,
  dout_2_20,
  dout_2_21,
  dout_2_22,
  dout_2_23,
  dout_2_24,
  dout_2_25,
  dout_2_26,
  dout_2_27,
  dout_2_28,
  dout_2_29,
  dout_2_30,
  dout_2_31,
  dout7,
  clk_c
);
input dout_2_a_0 ;
input dout_2_a_1 ;
input dout_2_a_2 ;
input dout_2_a_3 ;
input dout_2_a_4 ;
input dout_2_a_5 ;
input dout_2_a_6 ;
input dout_2_a_7 ;
input dout_2_a_8 ;
input dout_2_a_9 ;
input dout_2_a_10 ;
input dout_2_a_11 ;
input dout_2_a_12 ;
input dout_2_a_13 ;
input dout_2_a_14 ;
input dout_2_a_15 ;
input dout_2_a_16 ;
input dout_2_a_17 ;
input dout_2_a_18 ;
input dout_2_a_19 ;
input dout_2_a_20 ;
input dout_2_a_21 ;
input dout_2_a_22 ;
input dout_2_a_23 ;
input dout_2_a_24 ;
input dout_2_a_25 ;
input dout_2_a_26 ;
input dout_2_a_27 ;
input dout_2_a_28 ;
input dout_2_a_29 ;
input dout_2_a_30 ;
input dout_2_a_31 ;
input wb_o_0 ;
input wb_o_1 ;
input wb_o_2 ;
input wb_o_3 ;
input wb_o_4 ;
input wb_o_5 ;
input wb_o_6 ;
input wb_o_7 ;
input wb_o_8 ;
input wb_o_9 ;
input wb_o_10 ;
input wb_o_11 ;
input wb_o_12 ;
input wb_o_13 ;
input wb_o_14 ;
input wb_o_15 ;
input wb_o_16 ;
input wb_o_17 ;
input wb_o_18 ;
input wb_o_19 ;
input wb_o_20 ;
input wb_o_21 ;
input wb_o_22 ;
input wb_o_23 ;
input wb_o_24 ;
input wb_o_25 ;
input wb_o_26 ;
input wb_o_27 ;
input wb_o_28 ;
input wb_o_29 ;
input wb_o_30 ;
input wb_o_31 ;
output r32_o_0 ;
output r32_o_1 ;
output r32_o_2 ;
output r32_o_3 ;
output r32_o_4 ;
output r32_o_5 ;
output r32_o_6 ;
output r32_o_7 ;
output r32_o_8 ;
output r32_o_9 ;
output r32_o_10 ;
output r32_o_11 ;
output r32_o_12 ;
output r32_o_13 ;
output r32_o_14 ;
output r32_o_15 ;
output r32_o_16 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_30 ;
output r32_o_31 ;
output dout_2_0 ;
output dout_2_1 ;
output dout_2_2 ;
output dout_2_3 ;
output dout_2_4 ;
output dout_2_5 ;
output dout_2_6 ;
output dout_2_7 ;
output dout_2_8 ;
output dout_2_9 ;
output dout_2_10 ;
output dout_2_11 ;
output dout_2_12 ;
output dout_2_13 ;
output dout_2_14 ;
output dout_2_15 ;
output dout_2_16 ;
output dout_2_17 ;
output dout_2_18 ;
output dout_2_19 ;
output dout_2_20 ;
output dout_2_21 ;
output dout_2_22 ;
output dout_2_23 ;
output dout_2_24 ;
output dout_2_25 ;
output dout_2_26 ;
output dout_2_27 ;
output dout_2_28 ;
output dout_2_29 ;
output dout_2_30 ;
output dout_2_31 ;
input dout7 ;
input clk_c ;
wire dout_2_a_0 ;
wire dout_2_a_1 ;
wire dout_2_a_2 ;
wire dout_2_a_3 ;
wire dout_2_a_4 ;
wire dout_2_a_5 ;
wire dout_2_a_6 ;
wire dout_2_a_7 ;
wire dout_2_a_8 ;
wire dout_2_a_9 ;
wire dout_2_a_10 ;
wire dout_2_a_11 ;
wire dout_2_a_12 ;
wire dout_2_a_13 ;
wire dout_2_a_14 ;
wire dout_2_a_15 ;
wire dout_2_a_16 ;
wire dout_2_a_17 ;
wire dout_2_a_18 ;
wire dout_2_a_19 ;
wire dout_2_a_20 ;
wire dout_2_a_21 ;
wire dout_2_a_22 ;
wire dout_2_a_23 ;
wire dout_2_a_24 ;
wire dout_2_a_25 ;
wire dout_2_a_26 ;
wire dout_2_a_27 ;
wire dout_2_a_28 ;
wire dout_2_a_29 ;
wire dout_2_a_30 ;
wire dout_2_a_31 ;
wire wb_o_0 ;
wire wb_o_1 ;
wire wb_o_2 ;
wire wb_o_3 ;
wire wb_o_4 ;
wire wb_o_5 ;
wire wb_o_6 ;
wire wb_o_7 ;
wire wb_o_8 ;
wire wb_o_9 ;
wire wb_o_10 ;
wire wb_o_11 ;
wire wb_o_12 ;
wire wb_o_13 ;
wire wb_o_14 ;
wire wb_o_15 ;
wire wb_o_16 ;
wire wb_o_17 ;
wire wb_o_18 ;
wire wb_o_19 ;
wire wb_o_20 ;
wire wb_o_21 ;
wire wb_o_22 ;
wire wb_o_23 ;
wire wb_o_24 ;
wire wb_o_25 ;
wire wb_o_26 ;
wire wb_o_27 ;
wire wb_o_28 ;
wire wb_o_29 ;
wire wb_o_30 ;
wire wb_o_31 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire dout_2_0 ;
wire dout_2_1 ;
wire dout_2_2 ;
wire dout_2_3 ;
wire dout_2_4 ;
wire dout_2_5 ;
wire dout_2_6 ;
wire dout_2_7 ;
wire dout_2_8 ;
wire dout_2_9 ;
wire dout_2_10 ;
wire dout_2_11 ;
wire dout_2_12 ;
wire dout_2_13 ;
wire dout_2_14 ;
wire dout_2_15 ;
wire dout_2_16 ;
wire dout_2_17 ;
wire dout_2_18 ;
wire dout_2_19 ;
wire dout_2_20 ;
wire dout_2_21 ;
wire dout_2_22 ;
wire dout_2_23 ;
wire dout_2_24 ;
wire dout_2_25 ;
wire dout_2_26 ;
wire dout_2_27 ;
wire dout_2_28 ;
wire dout_2_29 ;
wire dout_2_30 ;
wire dout_2_31 ;
wire dout7 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_31__Z (
        .combout(dout_2_31),
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(wb_o_31),
        .datab(dout_2_a_31),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_and_comb";
defparam r32_o_31__Z.lut_mask="a3a3";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .combout(dout_2_30),
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(wb_o_30),
        .datab(dout_2_a_30),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_and_comb";
defparam r32_o_30__Z.lut_mask="a3a3";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .combout(dout_2_29),
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(wb_o_29),
        .datab(dout_2_a_29),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_and_comb";
defparam r32_o_29__Z.lut_mask="a3a3";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .combout(dout_2_28),
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(wb_o_28),
        .datab(dout_2_a_28),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_and_comb";
defparam r32_o_28__Z.lut_mask="a3a3";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .combout(dout_2_27),
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(wb_o_27),
        .datab(dout_2_a_27),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_and_comb";
defparam r32_o_27__Z.lut_mask="a3a3";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .combout(dout_2_26),
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(wb_o_26),
        .datab(dout_2_a_26),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_and_comb";
defparam r32_o_26__Z.lut_mask="a3a3";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .combout(dout_2_25),
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(wb_o_25),
        .datab(dout_2_a_25),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_and_comb";
defparam r32_o_25__Z.lut_mask="a3a3";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .combout(dout_2_24),
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(wb_o_24),
        .datab(dout_2_a_24),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_and_comb";
defparam r32_o_24__Z.lut_mask="a3a3";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .combout(dout_2_23),
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(wb_o_23),
        .datab(dout_2_a_23),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_and_comb";
defparam r32_o_23__Z.lut_mask="a3a3";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .combout(dout_2_22),
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(wb_o_22),
        .datab(dout_2_a_22),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_and_comb";
defparam r32_o_22__Z.lut_mask="a3a3";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .combout(dout_2_21),
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(wb_o_21),
        .datab(dout_2_a_21),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_and_comb";
defparam r32_o_21__Z.lut_mask="a3a3";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .combout(dout_2_20),
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(wb_o_20),
        .datab(dout_2_a_20),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_and_comb";
defparam r32_o_20__Z.lut_mask="a3a3";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .combout(dout_2_19),
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(wb_o_19),
        .datab(dout_2_a_19),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_and_comb";
defparam r32_o_19__Z.lut_mask="a3a3";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .combout(dout_2_18),
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(wb_o_18),
        .datab(dout_2_a_18),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_and_comb";
defparam r32_o_18__Z.lut_mask="a3a3";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .combout(dout_2_17),
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(wb_o_17),
        .datab(dout_2_a_17),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_and_comb";
defparam r32_o_17__Z.lut_mask="a3a3";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .combout(dout_2_16),
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(wb_o_16),
        .datab(dout_2_a_16),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_and_comb";
defparam r32_o_16__Z.lut_mask="a3a3";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .combout(dout_2_15),
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(wb_o_15),
        .datab(dout_2_a_15),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_and_comb";
defparam r32_o_15__Z.lut_mask="a3a3";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .combout(dout_2_14),
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(wb_o_14),
        .datab(dout_2_a_14),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_and_comb";
defparam r32_o_14__Z.lut_mask="a3a3";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .combout(dout_2_13),
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(wb_o_13),
        .datab(dout_2_a_13),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_and_comb";
defparam r32_o_13__Z.lut_mask="a3a3";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .combout(dout_2_12),
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(wb_o_12),
        .datab(dout_2_a_12),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_and_comb";
defparam r32_o_12__Z.lut_mask="a3a3";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .combout(dout_2_11),
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(wb_o_11),
        .datab(dout_2_a_11),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_and_comb";
defparam r32_o_11__Z.lut_mask="a3a3";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .combout(dout_2_10),
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(wb_o_10),
        .datab(dout_2_a_10),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_and_comb";
defparam r32_o_10__Z.lut_mask="a3a3";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .combout(dout_2_9),
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(wb_o_9),
        .datab(dout_2_a_9),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_and_comb";
defparam r32_o_9__Z.lut_mask="a3a3";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .combout(dout_2_8),
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(wb_o_8),
        .datab(dout_2_a_8),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_and_comb";
defparam r32_o_8__Z.lut_mask="a3a3";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .combout(dout_2_7),
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(wb_o_7),
        .datab(dout_2_a_7),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_and_comb";
defparam r32_o_7__Z.lut_mask="a3a3";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .combout(dout_2_6),
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(wb_o_6),
        .datab(dout_2_a_6),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_and_comb";
defparam r32_o_6__Z.lut_mask="a3a3";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .combout(dout_2_5),
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(wb_o_5),
        .datab(dout_2_a_5),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_and_comb";
defparam r32_o_5__Z.lut_mask="a3a3";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .combout(dout_2_4),
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(wb_o_4),
        .datab(dout_2_a_4),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_and_comb";
defparam r32_o_4__Z.lut_mask="a3a3";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .combout(dout_2_3),
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(wb_o_3),
        .datab(dout_2_a_3),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_and_comb";
defparam r32_o_3__Z.lut_mask="a3a3";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .combout(dout_2_2),
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(wb_o_2),
        .datab(dout_2_a_2),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_and_comb";
defparam r32_o_2__Z.lut_mask="a3a3";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .combout(dout_2_1),
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(wb_o_1),
        .datab(dout_2_a_1),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_and_comb";
defparam r32_o_1__Z.lut_mask="a3a3";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_0__Z (
        .combout(dout_2_0),
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(wb_o_0),
        .datab(dout_2_a_0),
        .datac(dout7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_and_comb";
defparam r32_o_0__Z.lut_mask="a3a3";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_3 */

// VQM4.1+ 
module r32_reg_4 (
  dout_0_a6_x_0,
  dout_0_a6_x_3,
  dout_0_a_0,
  dout_0_a_3,
  dout_0_0_a6_x_0,
  dout_0_0_a6_x_2,
  dout_0_0_a6_x_3,
  dout_0_0_a6_x_5,
  dout_0_0_a6_x_6,
  dout_0_0_a_0,
  dout_0_0_a_2,
  dout_0_0_a_3,
  dout_0_0_a_5,
  dout_0_0_a_6,
  dout_0_0_a2_2_0,
  dout_0_2_0,
  dout_0_a_x_0,
  dout_0_a_x_1,
  dout_0_a_x_4,
  dout_i_i_a_x_0,
  dout_i_i_a_x_1,
  dout_i_i_a_x_3,
  dout_0_0_a_x_0,
  dout_0_a2_0_0,
  dout_i_i_1_0,
  dout_i_i_1_8,
  dout_i_i_a6_0,
  dout_i_i_0_0,
  dout_i_i_a_0,
  dout_i_i_a_8,
  dout_0_a2_2_0,
  zz_din_c_0,
  zz_din_c_8,
  zz_din_c_9,
  zz_din_c_10,
  zz_din_c_11,
  zz_din_c_12,
  zz_din_c_13,
  zz_din_c_14,
  zz_din_c_15,
  zz_din_c_16,
  zz_din_c_17,
  zz_din_c_18,
  zz_din_c_19,
  zz_din_c_20,
  zz_din_c_21,
  zz_din_c_22,
  zz_din_c_23,
  zz_din_c_24,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  clk_c
);
input dout_0_a6_x_0 ;
input dout_0_a6_x_3 ;
input dout_0_a_0 ;
input dout_0_a_3 ;
input dout_0_0_a6_x_0 ;
input dout_0_0_a6_x_2 ;
input dout_0_0_a6_x_3 ;
input dout_0_0_a6_x_5 ;
input dout_0_0_a6_x_6 ;
input dout_0_0_a_0 ;
input dout_0_0_a_2 ;
input dout_0_0_a_3 ;
input dout_0_0_a_5 ;
input dout_0_0_a_6 ;
input dout_0_0_a2_2_0 ;
input dout_0_2_0 ;
input dout_0_a_x_0 ;
input dout_0_a_x_1 ;
input dout_0_a_x_4 ;
input dout_i_i_a_x_0 ;
input dout_i_i_a_x_1 ;
input dout_i_i_a_x_3 ;
input dout_0_0_a_x_0 ;
input dout_0_a2_0_0 ;
input dout_i_i_1_0 ;
input dout_i_i_1_8 ;
input dout_i_i_a6_0 ;
input dout_i_i_0_0 ;
input dout_i_i_a_0 ;
input dout_i_i_a_8 ;
input dout_0_a2_2_0 ;
input zz_din_c_0 ;
input zz_din_c_8 ;
input zz_din_c_9 ;
input zz_din_c_10 ;
input zz_din_c_11 ;
input zz_din_c_12 ;
input zz_din_c_13 ;
input zz_din_c_14 ;
input zz_din_c_15 ;
input zz_din_c_16 ;
input zz_din_c_17 ;
input zz_din_c_18 ;
input zz_din_c_19 ;
input zz_din_c_20 ;
input zz_din_c_21 ;
input zz_din_c_22 ;
input zz_din_c_23 ;
input zz_din_c_24 ;
output r32_o_0 ;
output r32_o_1 ;
output r32_o_2 ;
output r32_o_3 ;
output r32_o_4 ;
output r32_o_5 ;
output r32_o_6 ;
output r32_o_7 ;
output r32_o_8 ;
output r32_o_9 ;
output r32_o_10 ;
output r32_o_11 ;
output r32_o_12 ;
output r32_o_13 ;
output r32_o_14 ;
output r32_o_15 ;
output r32_o_16 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_30 ;
output r32_o_31 ;
input clk_c ;
wire dout_0_a6_x_0 ;
wire dout_0_a6_x_3 ;
wire dout_0_a_0 ;
wire dout_0_a_3 ;
wire dout_0_0_a6_x_0 ;
wire dout_0_0_a6_x_2 ;
wire dout_0_0_a6_x_3 ;
wire dout_0_0_a6_x_5 ;
wire dout_0_0_a6_x_6 ;
wire dout_0_0_a_0 ;
wire dout_0_0_a_2 ;
wire dout_0_0_a_3 ;
wire dout_0_0_a_5 ;
wire dout_0_0_a_6 ;
wire dout_0_0_a2_2_0 ;
wire dout_0_2_0 ;
wire dout_0_a_x_0 ;
wire dout_0_a_x_1 ;
wire dout_0_a_x_4 ;
wire dout_i_i_a_x_0 ;
wire dout_i_i_a_x_1 ;
wire dout_i_i_a_x_3 ;
wire dout_0_0_a_x_0 ;
wire dout_0_a2_0_0 ;
wire dout_i_i_1_0 ;
wire dout_i_i_1_8 ;
wire dout_i_i_a6_0 ;
wire dout_i_i_0_0 ;
wire dout_i_i_a_0 ;
wire dout_i_i_a_8 ;
wire dout_0_a2_2_0 ;
wire zz_din_c_0 ;
wire zz_din_c_8 ;
wire zz_din_c_9 ;
wire zz_din_c_10 ;
wire zz_din_c_11 ;
wire zz_din_c_12 ;
wire zz_din_c_13 ;
wire zz_din_c_14 ;
wire zz_din_c_15 ;
wire zz_din_c_16 ;
wire zz_din_c_17 ;
wire zz_din_c_18 ;
wire zz_din_c_19 ;
wire zz_din_c_20 ;
wire zz_din_c_21 ;
wire zz_din_c_22 ;
wire zz_din_c_23 ;
wire zz_din_c_24 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_31__Z (
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(zz_din_c_24),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a_8),
        .datad(dout_i_i_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_only";
defparam r32_o_31__Z.lut_mask="fff8";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(zz_din_c_23),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_only";
defparam r32_o_30__Z.lut_mask="f8f8";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(zz_din_c_22),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_only";
defparam r32_o_29__Z.lut_mask="f8f8";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(zz_din_c_21),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_only";
defparam r32_o_28__Z.lut_mask="f8f8";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(zz_din_c_20),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_only";
defparam r32_o_27__Z.lut_mask="f8f8";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(zz_din_c_19),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_only";
defparam r32_o_26__Z.lut_mask="f8f8";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(zz_din_c_18),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_only";
defparam r32_o_25__Z.lut_mask="f8f8";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(zz_din_c_17),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_only";
defparam r32_o_24__Z.lut_mask="f8f8";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(zz_din_c_16),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a_8),
        .datad(dout_i_i_1_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_only";
defparam r32_o_23__Z.lut_mask="fff8";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(zz_din_c_15),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_only";
defparam r32_o_22__Z.lut_mask="f8f8";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(zz_din_c_14),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_only";
defparam r32_o_21__Z.lut_mask="f8f8";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(zz_din_c_13),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_only";
defparam r32_o_20__Z.lut_mask="f8f8";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(zz_din_c_12),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_only";
defparam r32_o_19__Z.lut_mask="f8f8";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(zz_din_c_11),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_only";
defparam r32_o_18__Z.lut_mask="f8f8";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(zz_din_c_10),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_only";
defparam r32_o_17__Z.lut_mask="f8f8";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(zz_din_c_9),
        .datab(dout_0_a2_2_0),
        .datac(dout_i_i_a6_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_only";
defparam r32_o_16__Z.lut_mask="f8f8";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(zz_din_c_8),
        .datab(dout_i_i_a_0),
        .datac(dout_0_a2_2_0),
        .datad(dout_i_i_1_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_only";
defparam r32_o_15__Z.lut_mask="ffec";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(zz_din_c_23),
        .datab(dout_0_a2_0_0),
        .datac(dout_i_i_0_0),
        .datad(dout_0_0_a_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_only";
defparam r32_o_14__Z.lut_mask="f8ff";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(zz_din_c_22),
        .datab(dout_0_a2_0_0),
        .datac(dout_i_i_0_0),
        .datad(dout_i_i_a_x_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_only";
defparam r32_o_13__Z.lut_mask="f8ff";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(zz_din_c_21),
        .datab(dout_0_a2_0_0),
        .datac(dout_i_i_0_0),
        .datad(dout_0_a_x_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_only";
defparam r32_o_12__Z.lut_mask="f8ff";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(zz_din_c_20),
        .datab(dout_0_a2_0_0),
        .datac(dout_i_i_0_0),
        .datad(dout_i_i_a_x_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_only";
defparam r32_o_11__Z.lut_mask="f8ff";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(zz_din_c_19),
        .datab(dout_0_a2_0_0),
        .datac(dout_i_i_0_0),
        .datad(dout_i_i_a_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_only";
defparam r32_o_10__Z.lut_mask="f8ff";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(zz_din_c_18),
        .datab(dout_0_a2_0_0),
        .datac(dout_i_i_0_0),
        .datad(dout_0_a_x_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_only";
defparam r32_o_9__Z.lut_mask="f8ff";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(zz_din_c_17),
        .datab(dout_0_a2_0_0),
        .datac(dout_i_i_0_0),
        .datad(dout_0_a_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_only";
defparam r32_o_8__Z.lut_mask="f8ff";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(zz_din_c_0),
        .datab(dout_0_a2_2_0),
        .datac(dout_0_2_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_only";
defparam r32_o_7__Z.lut_mask="f8f8";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(zz_din_c_15),
        .datab(dout_0_0_a2_2_0),
        .datac(dout_0_0_a_6),
        .datad(dout_0_0_a6_x_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_only";
defparam r32_o_6__Z.lut_mask="ff8f";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(zz_din_c_14),
        .datab(dout_0_0_a2_2_0),
        .datac(dout_0_0_a_5),
        .datad(dout_0_0_a6_x_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_only";
defparam r32_o_5__Z.lut_mask="ff8f";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(zz_din_c_13),
        .datab(dout_0_0_a2_2_0),
        .datac(dout_0_a_3),
        .datad(dout_0_a6_x_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_only";
defparam r32_o_4__Z.lut_mask="ff8f";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(zz_din_c_12),
        .datab(dout_0_0_a2_2_0),
        .datac(dout_0_0_a_3),
        .datad(dout_0_0_a6_x_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_only";
defparam r32_o_3__Z.lut_mask="ff8f";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(zz_din_c_11),
        .datab(dout_0_0_a2_2_0),
        .datac(dout_0_0_a_2),
        .datad(dout_0_0_a6_x_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_only";
defparam r32_o_2__Z.lut_mask="ff8f";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(zz_din_c_10),
        .datab(dout_0_0_a2_2_0),
        .datac(dout_0_a_0),
        .datad(dout_0_a6_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_only";
defparam r32_o_1__Z.lut_mask="ff8f";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_0__Z (
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(zz_din_c_9),
        .datab(dout_0_0_a2_2_0),
        .datac(dout_0_0_a_0),
        .datad(dout_0_0_a6_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_only";
defparam r32_o_0__Z.lut_mask="ff8f";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_4 */

// VQM4.1+ 
module decoder (
  ext_ctl_0_0_a2_0_1_0,
  alu_func_0_0_0_o2_0_0,
  ext_ctl_0_0_a_0,
  pc_gen_ctl_0_0_1_0,
  muxa_ctl_0_0_0_a2_0_0_0,
  muxa_ctl_0_0_0_a_0,
  alu_func_0_0_0_3_0,
  pc_gen_ctl_0_0_o2_0,
  pc_gen_ctl_0_0_a_x_0,
  pc_gen_ctl_0_0_a2_2_0,
  pc_gen_ctl_0_0_a2_1_0,
  pc_gen_ctl_0_0_a2_2_x_0,
  pc_gen_ctl_0_0_a2_3_x_0,
  zz_ins_i_c_22,
  zz_ins_i_c_21,
  zz_ins_i_c_18,
  zz_ins_i_c_4,
  zz_ins_i_c_23,
  zz_ins_i_c_29,
  zz_ins_i_c_25,
  zz_ins_i_c_24,
  zz_ins_i_c_0,
  zz_ins_i_c_1,
  zz_ins_i_c_3,
  zz_ins_i_c_5,
  zz_ins_i_c_2,
  zz_ins_i_c_19,
  zz_ins_i_c_20,
  zz_ins_i_c_17,
  zz_ins_i_c_31,
  zz_ins_i_c_30,
  zz_ins_i_c_27,
  zz_ins_i_c_26,
  zz_ins_i_c_28,
  alu_func_1_1_0_0_0_a2,
  dmem_ctl_2_1_0_0_a,
  fsm_dly_1_1_0_0_a2_0,
  fsm_dly_1_1_0_0,
  m17_0_a3_0_a2_0,
  wb_we_1df0_a,
  cmp_ctl_2_1_0_0_a,
  ext_ctlk_1_1_0_0_a,
  muxa_ctl_0_1_0_0_a2_0_0,
  fsm_dly_0_1_0_0,
  cmp_ctl_1_1_0_0_a,
  N_1031,
  muxb_ctl_0_1_0_0_0_a2_0,
  rd_sel_1_1_0_0_0_a,
  alu_func_4_1_0_0_0_a2_2_0,
  muxa_ctl_0_1_0_0_a,
  alu_func_0_1_0_0_0_a2,
  fsm_dly_2_1_0_0,
  dmem_ctl_0_1_0_0_a,
  N_323_i_0_0_a,
  dmem_ctl_1_1_0_0_a,
  muxb_ctl_0_1_0_0_0_a,
  rd_sel_0_1_0_0_0_a2_0_0,
  cmp_ctl_1_1_0_0_a2_2,
  alu_func_0_1_0_0_0_3,
  m17_0_a3_0_a,
  rd_sel_0_1_0_0_0_a2_1,
  alu_func_4_1_0_0_0_a2_3,
  alu_func_1_1_0_0_0_2,
  alu_func_4_1_0_0_0_1,
  alu_func_4_1_0_0_0_o2_x,
  pc_gen_ctlk_1_1_0_0_a2_x,
  N_323_i_0_0_1_x,
  fsm_dly_1_1_0_0_a_x,
  cmp_ctl_2_1_0_0_a2_1_x
);
output ext_ctl_0_0_a2_0_1_0 ;
output alu_func_0_0_0_o2_0_0 ;
output ext_ctl_0_0_a_0 ;
output pc_gen_ctl_0_0_1_0 ;
output muxa_ctl_0_0_0_a2_0_0_0 ;
output muxa_ctl_0_0_0_a_0 ;
output alu_func_0_0_0_3_0 ;
output pc_gen_ctl_0_0_o2_0 ;
output pc_gen_ctl_0_0_a_x_0 ;
output pc_gen_ctl_0_0_a2_2_0 ;
output pc_gen_ctl_0_0_a2_1_0 ;
output pc_gen_ctl_0_0_a2_2_x_0 ;
output pc_gen_ctl_0_0_a2_3_x_0 ;
input zz_ins_i_c_22 ;
input zz_ins_i_c_21 ;
input zz_ins_i_c_18 ;
input zz_ins_i_c_4 ;
input zz_ins_i_c_23 ;
input zz_ins_i_c_29 ;
input zz_ins_i_c_25 ;
input zz_ins_i_c_24 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_1 ;
input zz_ins_i_c_3 ;
input zz_ins_i_c_5 ;
input zz_ins_i_c_2 ;
input zz_ins_i_c_19 ;
input zz_ins_i_c_20 ;
input zz_ins_i_c_17 ;
input zz_ins_i_c_31 ;
input zz_ins_i_c_30 ;
input zz_ins_i_c_27 ;
input zz_ins_i_c_26 ;
input zz_ins_i_c_28 ;
output alu_func_1_1_0_0_0_a2 ;
output dmem_ctl_2_1_0_0_a ;
output fsm_dly_1_1_0_0_a2_0 ;
output fsm_dly_1_1_0_0 ;
output m17_0_a3_0_a2_0 ;
output wb_we_1df0_a ;
output cmp_ctl_2_1_0_0_a ;
output ext_ctlk_1_1_0_0_a ;
output muxa_ctl_0_1_0_0_a2_0_0 ;
output fsm_dly_0_1_0_0 ;
output cmp_ctl_1_1_0_0_a ;
output N_1031 ;
output muxb_ctl_0_1_0_0_0_a2_0 ;
output rd_sel_1_1_0_0_0_a ;
output alu_func_4_1_0_0_0_a2_2_0 ;
output muxa_ctl_0_1_0_0_a ;
output alu_func_0_1_0_0_0_a2 ;
output fsm_dly_2_1_0_0 ;
output dmem_ctl_0_1_0_0_a ;
output N_323_i_0_0_a ;
output dmem_ctl_1_1_0_0_a ;
output muxb_ctl_0_1_0_0_0_a ;
output rd_sel_0_1_0_0_0_a2_0_0 ;
output cmp_ctl_1_1_0_0_a2_2 ;
output alu_func_0_1_0_0_0_3 ;
output m17_0_a3_0_a ;
output rd_sel_0_1_0_0_0_a2_1 ;
output alu_func_4_1_0_0_0_a2_3 ;
output alu_func_1_1_0_0_0_2 ;
output alu_func_4_1_0_0_0_1 ;
output alu_func_4_1_0_0_0_o2_x ;
output pc_gen_ctlk_1_1_0_0_a2_x ;
output N_323_i_0_0_1_x ;
output fsm_dly_1_1_0_0_a_x ;
output cmp_ctl_2_1_0_0_a2_1_x ;
wire ext_ctl_0_0_a2_0_1_0 ;
wire alu_func_0_0_0_o2_0_0 ;
wire ext_ctl_0_0_a_0 ;
wire pc_gen_ctl_0_0_1_0 ;
wire muxa_ctl_0_0_0_a2_0_0_0 ;
wire muxa_ctl_0_0_0_a_0 ;
wire alu_func_0_0_0_3_0 ;
wire pc_gen_ctl_0_0_o2_0 ;
wire pc_gen_ctl_0_0_a_x_0 ;
wire pc_gen_ctl_0_0_a2_2_0 ;
wire pc_gen_ctl_0_0_a2_1_0 ;
wire pc_gen_ctl_0_0_a2_2_x_0 ;
wire pc_gen_ctl_0_0_a2_3_x_0 ;
wire zz_ins_i_c_22 ;
wire zz_ins_i_c_21 ;
wire zz_ins_i_c_18 ;
wire zz_ins_i_c_4 ;
wire zz_ins_i_c_23 ;
wire zz_ins_i_c_29 ;
wire zz_ins_i_c_25 ;
wire zz_ins_i_c_24 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_1 ;
wire zz_ins_i_c_3 ;
wire zz_ins_i_c_5 ;
wire zz_ins_i_c_2 ;
wire zz_ins_i_c_19 ;
wire zz_ins_i_c_20 ;
wire zz_ins_i_c_17 ;
wire zz_ins_i_c_31 ;
wire zz_ins_i_c_30 ;
wire zz_ins_i_c_27 ;
wire zz_ins_i_c_26 ;
wire zz_ins_i_c_28 ;
wire alu_func_1_1_0_0_0_a2 ;
wire dmem_ctl_2_1_0_0_a ;
wire fsm_dly_1_1_0_0_a2_0 ;
wire fsm_dly_1_1_0_0 ;
wire m17_0_a3_0_a2_0 ;
wire wb_we_1df0_a ;
wire cmp_ctl_2_1_0_0_a ;
wire ext_ctlk_1_1_0_0_a ;
wire muxa_ctl_0_1_0_0_a2_0_0 ;
wire fsm_dly_0_1_0_0 ;
wire cmp_ctl_1_1_0_0_a ;
wire N_1031 ;
wire muxb_ctl_0_1_0_0_0_a2_0 ;
wire rd_sel_1_1_0_0_0_a ;
wire alu_func_4_1_0_0_0_a2_2_0 ;
wire muxa_ctl_0_1_0_0_a ;
wire alu_func_0_1_0_0_0_a2 ;
wire fsm_dly_2_1_0_0 ;
wire dmem_ctl_0_1_0_0_a ;
wire N_323_i_0_0_a ;
wire dmem_ctl_1_1_0_0_a ;
wire muxb_ctl_0_1_0_0_0_a ;
wire rd_sel_0_1_0_0_0_a2_0_0 ;
wire cmp_ctl_1_1_0_0_a2_2 ;
wire alu_func_0_1_0_0_0_3 ;
wire m17_0_a3_0_a ;
wire rd_sel_0_1_0_0_0_a2_1 ;
wire alu_func_4_1_0_0_0_a2_3 ;
wire alu_func_1_1_0_0_0_2 ;
wire alu_func_4_1_0_0_0_1 ;
wire alu_func_4_1_0_0_0_o2_x ;
wire pc_gen_ctlk_1_1_0_0_a2_x ;
wire N_323_i_0_0_1_x ;
wire fsm_dly_1_1_0_0_a_x ;
wire cmp_ctl_2_1_0_0_a2_1_x ;
wire [1:1] muxa_ctl_0_0_0_a2_1_1_x;
wire [1:1] muxa_ctl_0_0_0_a2_1_x;
wire [2:2] pc_gen_ctl_0_a2_0_1_x;
wire [0:0] pc_gen_ctl_0_0_o2_a_x;
wire [1:1] muxa_ctl_0_0_0_o2_1_a_x;
wire [0:0] pc_gen_ctl_0_0_0_a_x;
wire [2:2] ext_ctl_0_0_a2_6;
wire [0:0] pc_gen_ctl_0_0_o2_0_x;
wire [0:0] pc_gen_ctl_0_0_0;
wire [2:2] alu_func_0_0_0_2_x;
wire [2:2] alu_func_0_0_0_a2_3_0;
wire [2:2] alu_func_0_0_0_1;
wire [2:2] alu_func_0_0_0_o2;
wire [2:2] alu_func_0_0_0_1_a;
wire [1:1] muxa_ctl_0_0_0_o2_1;
wire [2:2] pc_gen_ctl_0_0_1_a;
wire [0:0] pc_gen_ctl_0_0_o2_1;
wire [0:0] pc_gen_ctl_0_0_a2_3;
wire [1:1] muxa_ctl_0_0_0_a2_0_0_a;
wire [2:2] alu_func_0_0_0_a2_3_0_a;
wire [2:2] alu_func_0_0_0_o2_a;
wire [2:2] alu_func_0_0_0_a2_5;
wire [2:2] alu_func_0_0_0_a2_5_a;
wire [2:2] ext_ctl_0_0_a2_0_1_a;
wire fsm_dly_0_1_0_0_a2_1_0_a_x ;
wire fsm_dly_1_1_0_0_a2_0_a_x ;
wire rd_sel_0_1_0_0_0_a2_7_3_a_x ;
wire alu_func_0_1_0_0_0_0_a_x ;
wire N_323_i_0_0_a2 ;
wire N_323_i_0_0_a2_2 ;
wire pc_gen_ctlk_1_1_0_0_a2_0 ;
wire alu_func_0_1_0_0_0_a2_0_x ;
wire alu_func_1_1_0_0_0_o2 ;
wire alu_func_4_1_0_0_0_a2_1 ;
wire alu_func_4_1_0_0_0_a2 ;
wire alu_func_1_1_0_0_0_0 ;
wire rd_sel_0_1_0_0_0_o2 ;
wire alu_func_0_1_0_0_0_1 ;
wire alu_func_1_1_0_0_0_0_a ;
wire rd_sel_0_1_0_0_0_a2_0_0_a ;
wire rd_sel_0_1_0_0_0_a2_3_1 ;
wire alu_func_0_1_0_0_0_0 ;
wire alu_func_4_1_0_0_0_a2_2_0_a ;
wire rd_sel_0_1_0_0_0_a2_7_3 ;
wire muxb_ctl_0_1_0_0_0_a2_0_a ;
wire alu_func_1_1_0_0_0_o2_a ;
wire fsm_dly_0_1_0_0_a ;
wire fsm_dly_0_1_0_0_a2_1_0 ;
wire pc_gen_ctlk_1_1_0_0_a2_0_a ;
wire muxa_ctl_0_1_0_0_a2_0_0_a ;
wire rd_sel_0_1_0_0_0_a2_1_a ;
wire rd_sel_0_1_0_0_0_o2_a ;
wire alu_func_4_1_0_0_0_a2_1_a ;
wire fsm_dly_1_1_0_0_a2_0_1 ;
wire m17_0_a3_0_a2_0_a ;
wire alu_func_4_1_0_0_0_a2_3_a ;
wire N_323_i_0_0_a2_a ;
wire N_323_i_0_0_a2_2_a ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @10:44
  cyclone_lcell cmp_ctl_2_1_0_0_a2_1_x_cZ (
        .combout(cmp_ctl_2_1_0_0_a2_1_x),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_26),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmp_ctl_2_1_0_0_a2_1_x_cZ.operation_mode="normal";
defparam cmp_ctl_2_1_0_0_a2_1_x_cZ.output_mode="comb_only";
defparam cmp_ctl_2_1_0_0_a2_1_x_cZ.lut_mask="4444";
defparam cmp_ctl_2_1_0_0_a2_1_x_cZ.synch_mode="off";
defparam cmp_ctl_2_1_0_0_a2_1_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_0_0_a2_1_1_x_1_ (
        .combout(muxa_ctl_0_0_0_a2_1_1_x[1]),
        .dataa(zz_ins_i_c_27),
        .datab(zz_ins_i_c_26),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_0_0_a2_1_1_x_1_.operation_mode="normal";
defparam muxa_ctl_0_0_0_a2_1_1_x_1_.output_mode="comb_only";
defparam muxa_ctl_0_0_0_a2_1_1_x_1_.lut_mask="1111";
defparam muxa_ctl_0_0_0_a2_1_1_x_1_.synch_mode="off";
defparam muxa_ctl_0_0_0_a2_1_1_x_1_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_a2_3_x_2_ (
        .combout(pc_gen_ctl_0_0_a2_3_x_0),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_a2_3_x_2_.operation_mode="normal";
defparam pc_gen_ctl_0_0_a2_3_x_2_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_a2_3_x_2_.lut_mask="4444";
defparam pc_gen_ctl_0_0_a2_3_x_2_.synch_mode="off";
defparam pc_gen_ctl_0_0_a2_3_x_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_a2_2_x_0_ (
        .combout(pc_gen_ctl_0_0_a2_2_x_0),
        .dataa(zz_ins_i_c_31),
        .datab(zz_ins_i_c_30),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_a2_2_x_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_a2_2_x_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_a2_2_x_0_.lut_mask="1111";
defparam pc_gen_ctl_0_0_a2_2_x_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_a2_2_x_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_0_0_a2_1_x_1_ (
        .combout(muxa_ctl_0_0_0_a2_1_x[1]),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_28),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_0_0_a2_1_x_1_.operation_mode="normal";
defparam muxa_ctl_0_0_0_a2_1_x_1_.output_mode="comb_only";
defparam muxa_ctl_0_0_0_a2_1_x_1_.lut_mask="0101";
defparam muxa_ctl_0_0_0_a2_1_x_1_.synch_mode="off";
defparam muxa_ctl_0_0_0_a2_1_x_1_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_a2_0_1_x_2_ (
        .combout(pc_gen_ctl_0_a2_0_1_x[2]),
        .dataa(zz_ins_i_c_17),
        .datab(zz_ins_i_c_20),
        .datac(zz_ins_i_c_19),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_a2_0_1_x_2_.operation_mode="normal";
defparam pc_gen_ctl_0_a2_0_1_x_2_.output_mode="comb_only";
defparam pc_gen_ctl_0_a2_0_1_x_2_.lut_mask="0101";
defparam pc_gen_ctl_0_a2_0_1_x_2_.synch_mode="off";
defparam pc_gen_ctl_0_a2_0_1_x_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_o2_a_x_0_ (
        .combout(pc_gen_ctl_0_0_o2_a_x[0]),
        .dataa(zz_ins_i_c_27),
        .datab(zz_ins_i_c_28),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_o2_a_x_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_o2_a_x_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_o2_a_x_0_.lut_mask="1111";
defparam pc_gen_ctl_0_0_o2_a_x_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_o2_a_x_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_0_1_0_0_a2_1_0_a_x_cZ (
        .combout(fsm_dly_0_1_0_0_a2_1_0_a_x),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_5),
        .datac(zz_ins_i_c_3),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_0_1_0_0_a2_1_0_a_x_cZ.operation_mode="normal";
defparam fsm_dly_0_1_0_0_a2_1_0_a_x_cZ.output_mode="comb_only";
defparam fsm_dly_0_1_0_0_a2_1_0_a_x_cZ.lut_mask="1010";
defparam fsm_dly_0_1_0_0_a2_1_0_a_x_cZ.synch_mode="off";
defparam fsm_dly_0_1_0_0_a2_1_0_a_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_0_0_o2_1_a_x_1_ (
        .combout(muxa_ctl_0_0_0_o2_1_a_x[1]),
        .dataa(zz_ins_i_c_1),
        .datab(zz_ins_i_c_0),
        .datac(zz_ins_i_c_3),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_0_0_o2_1_a_x_1_.operation_mode="normal";
defparam muxa_ctl_0_0_0_o2_1_a_x_1_.output_mode="comb_only";
defparam muxa_ctl_0_0_0_o2_1_a_x_1_.lut_mask="5454";
defparam muxa_ctl_0_0_0_o2_1_a_x_1_.synch_mode="off";
defparam muxa_ctl_0_0_0_o2_1_a_x_1_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_1_1_0_0_a2_0_a_x_cZ (
        .combout(fsm_dly_1_1_0_0_a2_0_a_x),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_5),
        .datac(zz_ins_i_c_26),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_1_1_0_0_a2_0_a_x_cZ.operation_mode="normal";
defparam fsm_dly_1_1_0_0_a2_0_a_x_cZ.output_mode="comb_only";
defparam fsm_dly_1_1_0_0_a2_0_a_x_cZ.lut_mask="0101";
defparam fsm_dly_1_1_0_0_a2_0_a_x_cZ.synch_mode="off";
defparam fsm_dly_1_1_0_0_a2_0_a_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_a2_7_3_a_x_cZ (
        .combout(rd_sel_0_1_0_0_0_a2_7_3_a_x),
        .dataa(zz_ins_i_c_24),
        .datab(zz_ins_i_c_25),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_a2_7_3_a_x_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_a2_7_3_a_x_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_a2_7_3_a_x_cZ.lut_mask="1111";
defparam rd_sel_0_1_0_0_0_a2_7_3_a_x_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_a2_7_3_a_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_1_1_0_0_a_x_cZ (
        .combout(fsm_dly_1_1_0_0_a_x),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(zz_ins_i_c_29),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_1_1_0_0_a_x_cZ.operation_mode="normal";
defparam fsm_dly_1_1_0_0_a_x_cZ.output_mode="comb_only";
defparam fsm_dly_1_1_0_0_a_x_cZ.lut_mask="0101";
defparam fsm_dly_1_1_0_0_a_x_cZ.synch_mode="off";
defparam fsm_dly_1_1_0_0_a_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_1_0_0_0_0_a_x_cZ (
        .combout(alu_func_0_1_0_0_0_0_a_x),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(zz_ins_i_c_29),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_1_0_0_0_0_a_x_cZ.operation_mode="normal";
defparam alu_func_0_1_0_0_0_0_a_x_cZ.output_mode="comb_only";
defparam alu_func_0_1_0_0_0_0_a_x_cZ.lut_mask="1010";
defparam alu_func_0_1_0_0_0_0_a_x_cZ.synch_mode="off";
defparam alu_func_0_1_0_0_0_0_a_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell N_323_i_0_0_1_x_cZ (
        .combout(N_323_i_0_0_1_x),
        .dataa(N_323_i_0_0_a2),
        .datab(N_323_i_0_0_a2_2),
        .datac(pc_gen_ctl_0_0_a2_1_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_323_i_0_0_1_x_cZ.operation_mode="normal";
defparam N_323_i_0_0_1_x_cZ.output_mode="comb_only";
defparam N_323_i_0_0_1_x_cZ.lut_mask="fefe";
defparam N_323_i_0_0_1_x_cZ.synch_mode="off";
defparam N_323_i_0_0_1_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctlk_1_1_0_0_a2_x_cZ (
        .combout(pc_gen_ctlk_1_1_0_0_a2_x),
        .dataa(zz_ins_i_c_3),
        .datab(zz_ins_i_c_0),
        .datac(pc_gen_ctlk_1_1_0_0_a2_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctlk_1_1_0_0_a2_x_cZ.operation_mode="normal";
defparam pc_gen_ctlk_1_1_0_0_a2_x_cZ.output_mode="comb_only";
defparam pc_gen_ctlk_1_1_0_0_a2_x_cZ.lut_mask="2020";
defparam pc_gen_ctlk_1_1_0_0_a2_x_cZ.synch_mode="off";
defparam pc_gen_ctlk_1_1_0_0_a2_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_1_0_0_0_a2_0_x_cZ (
        .combout(alu_func_0_1_0_0_0_a2_0_x),
        .dataa(zz_ins_i_c_3),
        .datab(zz_ins_i_c_0),
        .datac(alu_func_1_1_0_0_0_o2),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_1_0_0_0_a2_0_x_cZ.operation_mode="normal";
defparam alu_func_0_1_0_0_0_a2_0_x_cZ.output_mode="comb_only";
defparam alu_func_0_1_0_0_0_a2_0_x_cZ.lut_mask="2020";
defparam alu_func_0_1_0_0_0_a2_0_x_cZ.synch_mode="off";
defparam alu_func_0_1_0_0_0_a2_0_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_0_a_x_0_ (
        .combout(pc_gen_ctl_0_0_0_a_x[0]),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(zz_ins_i_c_27),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_0_a_x_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_0_a_x_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_0_a_x_0_.lut_mask="1010";
defparam pc_gen_ctl_0_0_0_a_x_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_0_a_x_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_o2_x_cZ (
        .combout(alu_func_4_1_0_0_0_o2_x),
        .dataa(zz_ins_i_c_23),
        .datab(ext_ctl_0_0_a2_6[2]),
        .datac(pc_gen_ctl_0_0_a2_2_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_o2_x_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_o2_x_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_o2_x_cZ.lut_mask="dcdc";
defparam alu_func_4_1_0_0_0_o2_x_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_o2_x_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_o2_0_x_0_ (
        .combout(pc_gen_ctl_0_0_o2_0_x[0]),
        .dataa(zz_ins_i_c_23),
        .datab(pc_gen_ctl_0_0_a2_2_0),
        .datac(pc_gen_ctl_0_0_a2_1_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_o2_0_x_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_o2_0_x_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_o2_0_x_0_.lut_mask="f4f4";
defparam pc_gen_ctl_0_0_o2_0_x_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_o2_0_x_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_a_x_0_ (
        .combout(pc_gen_ctl_0_0_a_x_0),
        .dataa(pc_gen_ctl_0_0_o2_0),
        .datab(pc_gen_ctl_0_0_0[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_a_x_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_a_x_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_a_x_0_.lut_mask="1111";
defparam pc_gen_ctl_0_0_a_x_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_a_x_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_2_x_2_ (
        .combout(alu_func_0_0_0_2_x[2]),
        .dataa(zz_ins_i_c_30),
        .datab(alu_func_0_0_0_a2_3_0[2]),
        .datac(alu_func_0_0_0_1[2]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_2_x_2_.operation_mode="normal";
defparam alu_func_0_0_0_2_x_2_.output_mode="comb_only";
defparam alu_func_0_0_0_2_x_2_.lut_mask="f4f4";
defparam alu_func_0_0_0_2_x_2_.synch_mode="off";
defparam alu_func_0_0_0_2_x_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_3_2_ (
        .combout(alu_func_0_0_0_3_0),
        .dataa(zz_ins_i_c_3),
        .datab(zz_ins_i_c_30),
        .datac(alu_func_0_0_0_o2[2]),
        .datad(alu_func_0_0_0_2_x[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_3_2_.operation_mode="normal";
defparam alu_func_0_0_0_3_2_.output_mode="comb_only";
defparam alu_func_0_0_0_3_2_.lut_mask="ff10";
defparam alu_func_0_0_0_3_2_.synch_mode="off";
defparam alu_func_0_0_0_3_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_1_cZ (
        .combout(alu_func_4_1_0_0_0_1),
        .dataa(zz_ins_i_c_28),
        .datab(alu_func_4_1_0_0_0_a2_1),
        .datac(alu_func_4_1_0_0_0_a2),
        .datad(alu_func_4_1_0_0_0_o2_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_1_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_1_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_1_cZ.lut_mask="fdfc";
defparam alu_func_4_1_0_0_0_1_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_1_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_1_1_0_0_0_2_cZ (
        .combout(alu_func_1_1_0_0_0_2),
        .dataa(zz_ins_i_c_1),
        .datab(alu_func_1_1_0_0_0_0),
        .datac(alu_func_4_1_0_0_0_a2_3),
        .datad(rd_sel_0_1_0_0_0_a2_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_1_1_0_0_0_2_cZ.operation_mode="normal";
defparam alu_func_1_1_0_0_0_2_cZ.output_mode="comb_only";
defparam alu_func_1_1_0_0_0_2_cZ.lut_mask="dddc";
defparam alu_func_1_1_0_0_0_2_cZ.synch_mode="off";
defparam alu_func_1_1_0_0_0_2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_1_2_ (
        .combout(alu_func_0_0_0_1[2]),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_27),
        .datac(alu_func_0_0_0_1_a[2]),
        .datad(pc_gen_ctl_0_0_o2_0_x[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_1_2_.operation_mode="normal";
defparam alu_func_0_0_0_1_2_.output_mode="comb_only";
defparam alu_func_0_0_0_1_2_.lut_mask="ff40";
defparam alu_func_0_0_0_1_2_.synch_mode="off";
defparam alu_func_0_0_0_1_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_1_a_2_ (
        .combout(alu_func_0_0_0_1_a[2]),
        .dataa(zz_ins_i_c_31),
        .datab(zz_ins_i_c_28),
        .datac(zz_ins_i_c_29),
        .datad(cmp_ctl_2_1_0_0_a2_1_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_1_a_2_.operation_mode="normal";
defparam alu_func_0_0_0_1_a_2_.output_mode="comb_only";
defparam alu_func_0_0_0_1_a_2_.lut_mask="4f40";
defparam alu_func_0_0_0_1_a_2_.synch_mode="off";
defparam alu_func_0_0_0_1_a_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell m17_0_a3_0_a_cZ (
        .combout(m17_0_a3_0_a),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(zz_ins_i_c_29),
        .datad(rd_sel_0_1_0_0_0_o2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m17_0_a3_0_a_cZ.operation_mode="normal";
defparam m17_0_a3_0_a_cZ.output_mode="comb_only";
defparam m17_0_a3_0_a_cZ.lut_mask="1110";
defparam m17_0_a3_0_a_cZ.synch_mode="off";
defparam m17_0_a3_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_1_0_0_0_3_cZ (
        .combout(alu_func_0_1_0_0_0_3),
        .dataa(zz_ins_i_c_1),
        .datab(rd_sel_0_1_0_0_0_a2_1),
        .datac(alu_func_0_1_0_0_0_1),
        .datad(alu_func_0_1_0_0_0_a2_0_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_1_0_0_0_3_cZ.operation_mode="normal";
defparam alu_func_0_1_0_0_0_3_cZ.output_mode="comb_only";
defparam alu_func_0_1_0_0_0_3_cZ.lut_mask="fff8";
defparam alu_func_0_1_0_0_0_3_cZ.synch_mode="off";
defparam alu_func_0_1_0_0_0_3_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_0_0_a_1_ (
        .combout(muxa_ctl_0_0_0_a_0),
        .dataa(zz_ins_i_c_4),
        .datab(muxa_ctl_0_0_0_o2_1[1]),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(muxa_ctl_0_0_0_a2_0_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_0_0_a_1_.operation_mode="normal";
defparam muxa_ctl_0_0_0_a_1_.output_mode="comb_only";
defparam muxa_ctl_0_0_0_a_1_.lut_mask="00bf";
defparam muxa_ctl_0_0_0_a_1_.synch_mode="off";
defparam muxa_ctl_0_0_0_a_1_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_1_1_0_0_0_0_cZ (
        .combout(alu_func_1_1_0_0_0_0),
        .dataa(zz_ins_i_c_2),
        .datab(alu_func_1_1_0_0_0_0_a),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(fsm_dly_1_1_0_0_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_1_1_0_0_0_0_cZ.operation_mode="normal";
defparam alu_func_1_1_0_0_0_0_cZ.output_mode="comb_only";
defparam alu_func_1_1_0_0_0_0_cZ.lut_mask="4000";
defparam alu_func_1_1_0_0_0_0_cZ.synch_mode="off";
defparam alu_func_1_1_0_0_0_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_1_1_0_0_0_0_a_cZ (
        .combout(alu_func_1_1_0_0_0_0_a),
        .dataa(zz_ins_i_c_5),
        .datab(zz_ins_i_c_4),
        .datac(zz_ins_i_c_1),
        .datad(zz_ins_i_c_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_1_1_0_0_0_0_a_cZ.operation_mode="normal";
defparam alu_func_1_1_0_0_0_0_a_cZ.output_mode="comb_only";
defparam alu_func_1_1_0_0_0_0_a_cZ.lut_mask="4064";
defparam alu_func_1_1_0_0_0_0_a_cZ.synch_mode="off";
defparam alu_func_1_1_0_0_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_1_2_ (
        .combout(pc_gen_ctl_0_0_1_0),
        .dataa(zz_ins_i_c_31),
        .datab(zz_ins_i_c_30),
        .datac(pc_gen_ctl_0_0_o2_0),
        .datad(pc_gen_ctl_0_0_1_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_1_2_.operation_mode="normal";
defparam pc_gen_ctl_0_0_1_2_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_1_2_.lut_mask="f0f1";
defparam pc_gen_ctl_0_0_1_2_.synch_mode="off";
defparam pc_gen_ctl_0_0_1_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_1_a_2_ (
        .combout(pc_gen_ctl_0_0_1_a[2]),
        .dataa(zz_ins_i_c_28),
        .datab(muxa_ctl_0_0_0_a2_1_1_x[1]),
        .datac(cmp_ctl_1_1_0_0_a2_2),
        .datad(pc_gen_ctl_0_0_o2_1[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_1_a_2_.operation_mode="normal";
defparam pc_gen_ctl_0_0_1_a_2_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_1_a_2_.lut_mask="0105";
defparam pc_gen_ctl_0_0_1_a_2_.synch_mode="off";
defparam pc_gen_ctl_0_0_1_a_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_a2_0_0_cZ (
        .combout(rd_sel_0_1_0_0_0_a2_0_0),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_26),
        .datac(rd_sel_0_1_0_0_0_a2_0_0_a),
        .datad(rd_sel_0_1_0_0_0_a2_3_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_a2_0_0_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_a2_0_0_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_a2_0_0_cZ.lut_mask="5140";
defparam rd_sel_0_1_0_0_0_a2_0_0_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_a2_0_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_a2_0_0_a_cZ (
        .combout(rd_sel_0_1_0_0_0_a2_0_0_a),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(zz_ins_i_c_29),
        .datad(zz_ins_i_c_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_a2_0_0_a_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_a2_0_0_a_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_a2_0_0_a_cZ.lut_mask="0100";
defparam rd_sel_0_1_0_0_0_a2_0_0_a_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_a2_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxb_ctl_0_1_0_0_0_a_cZ (
        .combout(muxb_ctl_0_1_0_0_0_a),
        .dataa(zz_ins_i_c_4),
        .datab(zz_ins_i_c_26),
        .datac(zz_ins_i_c_27),
        .datad(muxa_ctl_0_0_0_o2_1[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxb_ctl_0_1_0_0_0_a_cZ.operation_mode="normal";
defparam muxb_ctl_0_1_0_0_0_a_cZ.output_mode="comb_only";
defparam muxb_ctl_0_1_0_0_0_a_cZ.lut_mask="3e3f";
defparam muxb_ctl_0_1_0_0_0_a_cZ.synch_mode="off";
defparam muxb_ctl_0_1_0_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell dmem_ctl_1_1_0_0_a_cZ (
        .combout(dmem_ctl_1_1_0_0_a),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_28),
        .datad(zz_ins_i_c_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_1_1_0_0_a_cZ.operation_mode="normal";
defparam dmem_ctl_1_1_0_0_a_cZ.output_mode="comb_only";
defparam dmem_ctl_1_1_0_0_a_cZ.lut_mask="0229";
defparam dmem_ctl_1_1_0_0_a_cZ.synch_mode="off";
defparam dmem_ctl_1_1_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell N_323_i_0_0_a_cZ (
        .combout(N_323_i_0_0_a),
        .dataa(zz_ins_i_c_31),
        .datab(zz_ins_i_c_26),
        .datac(zz_ins_i_c_29),
        .datad(zz_ins_i_c_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_323_i_0_0_a_cZ.operation_mode="normal";
defparam N_323_i_0_0_a_cZ.output_mode="comb_only";
defparam N_323_i_0_0_a_cZ.lut_mask="15f0";
defparam N_323_i_0_0_a_cZ.synch_mode="off";
defparam N_323_i_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell dmem_ctl_0_1_0_0_a_cZ (
        .combout(dmem_ctl_0_1_0_0_a),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_29),
        .datad(zz_ins_i_c_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_0_1_0_0_a_cZ.operation_mode="normal";
defparam dmem_ctl_0_1_0_0_a_cZ.output_mode="comb_only";
defparam dmem_ctl_0_1_0_0_a_cZ.lut_mask="00b0";
defparam dmem_ctl_0_1_0_0_a_cZ.synch_mode="off";
defparam dmem_ctl_0_1_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_2_1_0_0_cZ (
        .combout(fsm_dly_2_1_0_0),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_23),
        .datac(rd_sel_0_1_0_0_0_a2_0_0_a),
        .datad(pc_gen_ctl_0_0_a2_2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_2_1_0_0_cZ.operation_mode="normal";
defparam fsm_dly_2_1_0_0_cZ.output_mode="comb_only";
defparam fsm_dly_2_1_0_0_cZ.lut_mask="dc50";
defparam fsm_dly_2_1_0_0_cZ.synch_mode="off";
defparam fsm_dly_2_1_0_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_0_0_ (
        .combout(pc_gen_ctl_0_0_0[0]),
        .dataa(zz_ins_i_c_28),
        .datab(pc_gen_ctl_0_0_0_a_x[0]),
        .datac(pc_gen_ctl_0_0_a2_3[0]),
        .datad(pc_gen_ctl_0_0_o2_1[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_0_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_0_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_0_0_.lut_mask="f444";
defparam pc_gen_ctl_0_0_0_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_0_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_1_0_0_0_1_cZ (
        .combout(alu_func_0_1_0_0_0_1),
        .dataa(zz_ins_i_c_1),
        .datab(zz_ins_i_c_0),
        .datac(alu_func_0_1_0_0_0_0),
        .datad(alu_func_4_1_0_0_0_a2_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_1_0_0_0_1_cZ.operation_mode="normal";
defparam alu_func_0_1_0_0_0_1_cZ.output_mode="comb_only";
defparam alu_func_0_1_0_0_0_1_cZ.lut_mask="f1f0";
defparam alu_func_0_1_0_0_0_1_cZ.synch_mode="off";
defparam alu_func_0_1_0_0_0_1_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_1_0_0_0_a2_cZ (
        .combout(alu_func_0_1_0_0_0_a2),
        .dataa(zz_ins_i_c_0),
        .datab(zz_ins_i_c_3),
        .datac(alu_func_0_0_0_o2[2]),
        .datad(fsm_dly_1_1_0_0_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_1_0_0_0_a2_cZ.operation_mode="normal";
defparam alu_func_0_1_0_0_0_a2_cZ.output_mode="comb_only";
defparam alu_func_0_1_0_0_0_a2_cZ.lut_mask="2000";
defparam alu_func_0_1_0_0_0_a2_cZ.synch_mode="off";
defparam alu_func_0_1_0_0_0_a2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_1_0_0_a_cZ (
        .combout(muxa_ctl_0_1_0_0_a),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_28),
        .datac(zz_ins_i_c_27),
        .datad(fsm_dly_1_1_0_0_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_1_0_0_a_cZ.operation_mode="normal";
defparam muxa_ctl_0_1_0_0_a_cZ.output_mode="comb_only";
defparam muxa_ctl_0_1_0_0_a_cZ.lut_mask="2000";
defparam muxa_ctl_0_1_0_0_a_cZ.synch_mode="off";
defparam muxa_ctl_0_1_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_a2_2_0_cZ (
        .combout(alu_func_4_1_0_0_0_a2_2_0),
        .dataa(zz_ins_i_c_0),
        .datab(alu_func_4_1_0_0_0_a2_2_0_a),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(fsm_dly_1_1_0_0_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_a2_2_0_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_a2_2_0_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_a2_2_0_cZ.lut_mask="8000";
defparam alu_func_4_1_0_0_0_a2_2_0_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_a2_2_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_a2_2_0_a_cZ (
        .combout(alu_func_4_1_0_0_0_a2_2_0_a),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_5),
        .datac(zz_ins_i_c_4),
        .datad(zz_ins_i_c_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_a2_2_0_a_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_a2_2_0_a_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_a2_2_0_a_cZ.lut_mask="0010";
defparam alu_func_4_1_0_0_0_a2_2_0_a_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_a2_2_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell ext_ctl_0_0_a_2_ (
        .combout(ext_ctl_0_0_a_0),
        .dataa(zz_ins_i_c_28),
        .datab(ext_ctl_0_0_a2_6[2]),
        .datac(cmp_ctl_1_1_0_0_a2_2),
        .datad(fsm_dly_1_1_0_0_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ext_ctl_0_0_a_2_.operation_mode="normal";
defparam ext_ctl_0_0_a_2_.output_mode="comb_only";
defparam ext_ctl_0_0_a_2_.lut_mask="0577";
defparam ext_ctl_0_0_a_2_.synch_mode="off";
defparam ext_ctl_0_0_a_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_a2_2_2_ (
        .combout(pc_gen_ctl_0_0_a2_2_0),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_29),
        .datac(rd_sel_0_1_0_0_0_a2_7_3),
        .datad(muxa_ctl_0_0_0_a2_1_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_a2_2_2_.operation_mode="normal";
defparam pc_gen_ctl_0_0_a2_2_2_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_a2_2_2_.lut_mask="2000";
defparam pc_gen_ctl_0_0_a2_2_2_.synch_mode="off";
defparam pc_gen_ctl_0_0_a2_2_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_1_1_0_0_0_a_cZ (
        .combout(rd_sel_1_1_0_0_0_a),
        .dataa(zz_ins_i_c_31),
        .datab(zz_ins_i_c_29),
        .datac(zz_ins_i_c_27),
        .datad(cmp_ctl_2_1_0_0_a2_1_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_1_1_0_0_0_a_cZ.operation_mode="normal";
defparam rd_sel_1_1_0_0_0_a_cZ.output_mode="comb_only";
defparam rd_sel_1_1_0_0_0_a_cZ.lut_mask="7e46";
defparam rd_sel_1_1_0_0_0_a_cZ.synch_mode="off";
defparam rd_sel_1_1_0_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxb_ctl_0_1_0_0_0_a2_0_cZ (
        .combout(muxb_ctl_0_1_0_0_0_a2_0),
        .dataa(zz_ins_i_c_3),
        .datab(muxb_ctl_0_1_0_0_0_a2_0_a),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxb_ctl_0_1_0_0_0_a2_0_cZ.operation_mode="normal";
defparam muxb_ctl_0_1_0_0_0_a2_0_cZ.output_mode="comb_only";
defparam muxb_ctl_0_1_0_0_0_a2_0_cZ.lut_mask="8000";
defparam muxb_ctl_0_1_0_0_0_a2_0_cZ.synch_mode="off";
defparam muxb_ctl_0_1_0_0_0_a2_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxb_ctl_0_1_0_0_0_a2_0_a_cZ (
        .combout(muxb_ctl_0_1_0_0_0_a2_0_a),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_2),
        .datac(zz_ins_i_c_5),
        .datad(zz_ins_i_c_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxb_ctl_0_1_0_0_0_a2_0_a_cZ.operation_mode="normal";
defparam muxb_ctl_0_1_0_0_0_a2_0_a_cZ.output_mode="comb_only";
defparam muxb_ctl_0_1_0_0_0_a2_0_a_cZ.lut_mask="0100";
defparam muxb_ctl_0_1_0_0_0_a2_0_a_cZ.synch_mode="off";
defparam muxb_ctl_0_1_0_0_0_a2_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_a2_0 (
        .combout(N_1031),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(zz_ins_i_c_29),
        .datad(rd_sel_0_1_0_0_0_o2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_a2_0.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_a2_0.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_a2_0.lut_mask="0100";
defparam rd_sel_0_1_0_0_0_a2_0.synch_mode="off";
defparam rd_sel_0_1_0_0_0_a2_0.sum_lutc_input="datac";
// @10:44
  cyclone_lcell cmp_ctl_1_1_0_0_a_cZ (
        .combout(cmp_ctl_1_1_0_0_a),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_28),
        .datad(muxa_ctl_0_0_0_a2_1_1_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmp_ctl_1_1_0_0_a_cZ.operation_mode="normal";
defparam cmp_ctl_1_1_0_0_a_cZ.output_mode="comb_only";
defparam cmp_ctl_1_1_0_0_a_cZ.lut_mask="0070";
defparam cmp_ctl_1_1_0_0_a_cZ.synch_mode="off";
defparam cmp_ctl_1_1_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_1_1_0_0_0_o2_cZ (
        .combout(alu_func_1_1_0_0_0_o2),
        .dataa(zz_ins_i_c_2),
        .datab(alu_func_1_1_0_0_0_o2_a),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_1_1_0_0_0_o2_cZ.operation_mode="normal";
defparam alu_func_1_1_0_0_0_o2_cZ.output_mode="comb_only";
defparam alu_func_1_1_0_0_0_o2_cZ.lut_mask="4000";
defparam alu_func_1_1_0_0_0_o2_cZ.synch_mode="off";
defparam alu_func_1_1_0_0_0_o2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_1_1_0_0_0_o2_a_cZ (
        .combout(alu_func_1_1_0_0_0_o2_a),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_5),
        .datac(zz_ins_i_c_1),
        .datad(zz_ins_i_c_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_1_1_0_0_0_o2_a_cZ.operation_mode="normal";
defparam alu_func_1_1_0_0_0_o2_a_cZ.output_mode="comb_only";
defparam alu_func_1_1_0_0_0_o2_a_cZ.lut_mask="1140";
defparam alu_func_1_1_0_0_0_o2_a_cZ.synch_mode="off";
defparam alu_func_1_1_0_0_0_o2_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_0_1_0_0_cZ (
        .combout(fsm_dly_0_1_0_0),
        .dataa(zz_ins_i_c_29),
        .datab(fsm_dly_0_1_0_0_a),
        .datac(cmp_ctl_1_1_0_0_a2_2),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_0_1_0_0_cZ.operation_mode="normal";
defparam fsm_dly_0_1_0_0_cZ.output_mode="comb_only";
defparam fsm_dly_0_1_0_0_cZ.lut_mask="5100";
defparam fsm_dly_0_1_0_0_cZ.synch_mode="off";
defparam fsm_dly_0_1_0_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_0_1_0_0_a_cZ (
        .combout(fsm_dly_0_1_0_0_a),
        .dataa(zz_ins_i_c_1),
        .datab(zz_ins_i_c_4),
        .datac(zz_ins_i_c_28),
        .datad(fsm_dly_0_1_0_0_a2_1_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_0_1_0_0_a_cZ.operation_mode="normal";
defparam fsm_dly_0_1_0_0_a_cZ.output_mode="comb_only";
defparam fsm_dly_0_1_0_0_a_cZ.lut_mask="0e0f";
defparam fsm_dly_0_1_0_0_a_cZ.synch_mode="off";
defparam fsm_dly_0_1_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctlk_1_1_0_0_a2_0_cZ (
        .combout(pc_gen_ctlk_1_1_0_0_a2_0),
        .dataa(zz_ins_i_c_4),
        .datab(pc_gen_ctlk_1_1_0_0_a2_0_a),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctlk_1_1_0_0_a2_0_cZ.operation_mode="normal";
defparam pc_gen_ctlk_1_1_0_0_a2_0_cZ.output_mode="comb_only";
defparam pc_gen_ctlk_1_1_0_0_a2_0_cZ.lut_mask="4000";
defparam pc_gen_ctlk_1_1_0_0_a2_0_cZ.synch_mode="off";
defparam pc_gen_ctlk_1_1_0_0_a2_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctlk_1_1_0_0_a2_0_a_cZ (
        .combout(pc_gen_ctlk_1_1_0_0_a2_0_a),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_2),
        .datac(zz_ins_i_c_5),
        .datad(zz_ins_i_c_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctlk_1_1_0_0_a2_0_a_cZ.operation_mode="normal";
defparam pc_gen_ctlk_1_1_0_0_a2_0_a_cZ.output_mode="comb_only";
defparam pc_gen_ctlk_1_1_0_0_a2_0_a_cZ.lut_mask="0001";
defparam pc_gen_ctlk_1_1_0_0_a2_0_a_cZ.synch_mode="off";
defparam pc_gen_ctlk_1_1_0_0_a2_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_a2_3_1_cZ (
        .combout(rd_sel_0_1_0_0_0_a2_3_1),
        .dataa(zz_ins_i_c_23),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_30),
        .datad(rd_sel_0_1_0_0_0_a2_7_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_a2_3_1_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_a2_3_1_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_a2_3_1_cZ.lut_mask="1000";
defparam rd_sel_0_1_0_0_0_a2_3_1_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_a2_3_1_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_1_0_0_a2_0_0_cZ (
        .combout(muxa_ctl_0_1_0_0_a2_0_0),
        .dataa(zz_ins_i_c_5),
        .datab(muxa_ctl_0_1_0_0_a2_0_0_a),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_1_0_0_a2_0_0_cZ.operation_mode="normal";
defparam muxa_ctl_0_1_0_0_a2_0_0_cZ.output_mode="comb_only";
defparam muxa_ctl_0_1_0_0_a2_0_0_cZ.lut_mask="4000";
defparam muxa_ctl_0_1_0_0_a2_0_0_cZ.synch_mode="off";
defparam muxa_ctl_0_1_0_0_a2_0_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_1_0_0_a2_0_0_a_cZ (
        .combout(muxa_ctl_0_1_0_0_a2_0_0_a),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_2),
        .datac(zz_ins_i_c_1),
        .datad(zz_ins_i_c_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_1_0_0_a2_0_0_a_cZ.operation_mode="normal";
defparam muxa_ctl_0_1_0_0_a2_0_0_a_cZ.output_mode="comb_only";
defparam muxa_ctl_0_1_0_0_a2_0_0_a_cZ.lut_mask="1011";
defparam muxa_ctl_0_1_0_0_a2_0_0_a_cZ.synch_mode="off";
defparam muxa_ctl_0_1_0_0_a2_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_0_0_a2_0_0_1_ (
        .combout(muxa_ctl_0_0_0_a2_0_0_0),
        .dataa(zz_ins_i_c_4),
        .datab(zz_ins_i_c_5),
        .datac(muxa_ctl_0_0_0_a2_0_0_a[1]),
        .datad(muxa_ctl_0_0_0_a2_1_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_0_0_a2_0_0_1_.operation_mode="normal";
defparam muxa_ctl_0_0_0_a2_0_0_1_.output_mode="comb_only";
defparam muxa_ctl_0_0_0_a2_0_0_1_.lut_mask="2000";
defparam muxa_ctl_0_0_0_a2_0_0_1_.synch_mode="off";
defparam muxa_ctl_0_0_0_a2_0_0_1_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_0_0_a2_0_0_a_1_ (
        .combout(muxa_ctl_0_0_0_a2_0_0_a[1]),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_1),
        .datac(zz_ins_i_c_0),
        .datad(zz_ins_i_c_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_0_0_a2_0_0_a_1_.operation_mode="normal";
defparam muxa_ctl_0_0_0_a2_0_0_a_1_.output_mode="comb_only";
defparam muxa_ctl_0_0_0_a2_0_0_a_1_.lut_mask="5510";
defparam muxa_ctl_0_0_0_a2_0_0_a_1_.synch_mode="off";
defparam muxa_ctl_0_0_0_a2_0_0_a_1_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_a2_3_0_2_ (
        .combout(alu_func_0_0_0_a2_3_0[2]),
        .dataa(zz_ins_i_c_0),
        .datab(zz_ins_i_c_1),
        .datac(alu_func_0_0_0_a2_3_0_a[2]),
        .datad(muxa_ctl_0_0_0_a2_1_1_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_a2_3_0_2_.operation_mode="normal";
defparam alu_func_0_0_0_a2_3_0_2_.output_mode="comb_only";
defparam alu_func_0_0_0_a2_3_0_2_.lut_mask="8000";
defparam alu_func_0_0_0_a2_3_0_2_.synch_mode="off";
defparam alu_func_0_0_0_a2_3_0_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_a2_3_0_a_2_ (
        .combout(alu_func_0_0_0_a2_3_0_a[2]),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_2),
        .datac(zz_ins_i_c_3),
        .datad(zz_ins_i_c_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_a2_3_0_a_2_.operation_mode="normal";
defparam alu_func_0_0_0_a2_3_0_a_2_.output_mode="comb_only";
defparam alu_func_0_0_0_a2_3_0_a_2_.lut_mask="0001";
defparam alu_func_0_0_0_a2_3_0_a_2_.synch_mode="off";
defparam alu_func_0_0_0_a2_3_0_a_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell ext_ctlk_1_1_0_0_a_cZ (
        .combout(ext_ctlk_1_1_0_0_a),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_29),
        .datad(zz_ins_i_c_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ext_ctlk_1_1_0_0_a_cZ.operation_mode="normal";
defparam ext_ctlk_1_1_0_0_a_cZ.output_mode="comb_only";
defparam ext_ctlk_1_1_0_0_a_cZ.lut_mask="0f73";
defparam ext_ctlk_1_1_0_0_a_cZ.synch_mode="off";
defparam ext_ctlk_1_1_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_a2_1_cZ (
        .combout(rd_sel_0_1_0_0_0_a2_1),
        .dataa(zz_ins_i_c_5),
        .datab(rd_sel_0_1_0_0_0_a2_1_a),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_a2_1_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_a2_1_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_a2_1_cZ.lut_mask="4000";
defparam rd_sel_0_1_0_0_0_a2_1_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_a2_1_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_a2_1_a_cZ (
        .combout(rd_sel_0_1_0_0_0_a2_1_a),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_2),
        .datac(zz_ins_i_c_0),
        .datad(zz_ins_i_c_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_a2_1_a_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_a2_1_a_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_a2_1_a_cZ.lut_mask="0001";
defparam rd_sel_0_1_0_0_0_a2_1_a_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_a2_1_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell cmp_ctl_2_1_0_0_a_cZ (
        .combout(cmp_ctl_2_1_0_0_a),
        .dataa(zz_ins_i_c_18),
        .datab(zz_ins_i_c_28),
        .datac(zz_ins_i_c_27),
        .datad(pc_gen_ctl_0_a2_0_1_x[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmp_ctl_2_1_0_0_a_cZ.operation_mode="normal";
defparam cmp_ctl_2_1_0_0_a_cZ.output_mode="comb_only";
defparam cmp_ctl_2_1_0_0_a_cZ.lut_mask="3e3f";
defparam cmp_ctl_2_1_0_0_a_cZ.synch_mode="off";
defparam cmp_ctl_2_1_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_o2_cZ (
        .combout(rd_sel_0_1_0_0_0_o2),
        .dataa(zz_ins_i_c_4),
        .datab(zz_ins_i_c_28),
        .datac(rd_sel_0_1_0_0_0_o2_a),
        .datad(muxa_ctl_0_0_0_a2_1_1_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_o2_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_o2_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_o2_cZ.lut_mask="1000";
defparam rd_sel_0_1_0_0_0_o2_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_o2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_o2_a_cZ (
        .combout(rd_sel_0_1_0_0_0_o2_a),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_3),
        .datac(zz_ins_i_c_5),
        .datad(zz_ins_i_c_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_o2_a_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_o2_a_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_o2_a_cZ.lut_mask="7130";
defparam rd_sel_0_1_0_0_0_o2_a_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_o2_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_o2_2_ (
        .combout(alu_func_0_0_0_o2[2]),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_26),
        .datad(alu_func_0_0_0_o2_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_o2_2_.operation_mode="normal";
defparam alu_func_0_0_0_o2_2_.output_mode="comb_only";
defparam alu_func_0_0_0_o2_2_.lut_mask="0100";
defparam alu_func_0_0_0_o2_2_.synch_mode="off";
defparam alu_func_0_0_0_o2_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_o2_a_2_ (
        .combout(alu_func_0_0_0_o2_a[2]),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_5),
        .datac(zz_ins_i_c_1),
        .datad(zz_ins_i_c_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_o2_a_2_.operation_mode="normal";
defparam alu_func_0_0_0_o2_a_2_.output_mode="comb_only";
defparam alu_func_0_0_0_o2_a_2_.lut_mask="11c0";
defparam alu_func_0_0_0_o2_a_2_.synch_mode="off";
defparam alu_func_0_0_0_o2_a_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_o2_0_2_ (
        .combout(alu_func_0_0_0_o2_0_0),
        .dataa(zz_ins_i_c_27),
        .datab(zz_ins_i_c_28),
        .datac(zz_ins_i_c_29),
        .datad(alu_func_0_0_0_a2_5[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_o2_0_2_.operation_mode="normal";
defparam alu_func_0_0_0_o2_0_2_.output_mode="comb_only";
defparam alu_func_0_0_0_o2_0_2_.lut_mask="ff10";
defparam alu_func_0_0_0_o2_0_2_.synch_mode="off";
defparam alu_func_0_0_0_o2_0_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_o2_1_0_ (
        .combout(pc_gen_ctl_0_0_o2_1[0]),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_5),
        .datac(zz_ins_i_c_4),
        .datad(muxa_ctl_0_0_0_o2_1[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_o2_1_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_o2_1_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_o2_1_0_.lut_mask="1f10";
defparam pc_gen_ctl_0_0_o2_1_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_o2_1_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell wb_we_1df0_a_cZ (
        .combout(wb_we_1df0_a),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_28),
        .datad(zz_ins_i_c_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_we_1df0_a_cZ.operation_mode="normal";
defparam wb_we_1df0_a_cZ.output_mode="comb_only";
defparam wb_we_1df0_a_cZ.lut_mask="403b";
defparam wb_we_1df0_a_cZ.synch_mode="off";
defparam wb_we_1df0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_1_0_0_0_0_cZ (
        .combout(alu_func_0_1_0_0_0_0),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_26),
        .datad(alu_func_0_1_0_0_0_0_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_1_0_0_0_0_cZ.operation_mode="normal";
defparam alu_func_0_1_0_0_0_0_cZ.output_mode="comb_only";
defparam alu_func_0_1_0_0_0_0_cZ.lut_mask="8600";
defparam alu_func_0_1_0_0_0_0_cZ.synch_mode="off";
defparam alu_func_0_1_0_0_0_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_a2_1_cZ (
        .combout(alu_func_4_1_0_0_0_a2_1),
        .dataa(zz_ins_i_c_1),
        .datab(alu_func_4_1_0_0_0_a2_1_a),
        .datac(fsm_dly_1_1_0_0_a2_0_1),
        .datad(muxa_ctl_0_0_0_a2_1_1_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_a2_1_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_a2_1_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_a2_1_cZ.lut_mask="8000";
defparam alu_func_4_1_0_0_0_a2_1_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_a2_1_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_a2_1_a_cZ (
        .combout(alu_func_4_1_0_0_0_a2_1_a),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_2),
        .datac(zz_ins_i_c_5),
        .datad(zz_ins_i_c_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_a2_1_a_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_a2_1_a_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_a2_1_a_cZ.lut_mask="0010";
defparam alu_func_4_1_0_0_0_a2_1_a_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_a2_1_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell m17_0_a3_0_a2_0_cZ (
        .combout(m17_0_a3_0_a2_0),
        .dataa(zz_ins_i_c_5),
        .datab(zz_ins_i_c_2),
        .datac(m17_0_a3_0_a2_0_a),
        .datad(muxa_ctl_0_0_0_a2_1_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m17_0_a3_0_a2_0_cZ.operation_mode="normal";
defparam m17_0_a3_0_a2_0_cZ.output_mode="comb_only";
defparam m17_0_a3_0_a2_0_cZ.lut_mask="1000";
defparam m17_0_a3_0_a2_0_cZ.synch_mode="off";
defparam m17_0_a3_0_a2_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell m17_0_a3_0_a2_0_a_cZ (
        .combout(m17_0_a3_0_a2_0_a),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(zz_ins_i_c_0),
        .datad(zz_ins_i_c_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m17_0_a3_0_a2_0_a_cZ.operation_mode="normal";
defparam m17_0_a3_0_a2_0_a_cZ.output_mode="comb_only";
defparam m17_0_a3_0_a2_0_a_cZ.lut_mask="0001";
defparam m17_0_a3_0_a2_0_a_cZ.synch_mode="off";
defparam m17_0_a3_0_a2_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_a2_3_cZ (
        .combout(alu_func_4_1_0_0_0_a2_3),
        .dataa(zz_ins_i_c_5),
        .datab(alu_func_4_1_0_0_0_a2_3_a),
        .datac(muxa_ctl_0_0_0_a2_1_x[1]),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_a2_3_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_a2_3_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_a2_3_cZ.lut_mask="8000";
defparam alu_func_4_1_0_0_0_a2_3_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_a2_3_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_a2_3_a_cZ (
        .combout(alu_func_4_1_0_0_0_a2_3_a),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_3),
        .datac(zz_ins_i_c_4),
        .datad(zz_ins_i_c_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_a2_3_a_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_a2_3_a_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_a2_3_a_cZ.lut_mask="0100";
defparam alu_func_4_1_0_0_0_a2_3_a_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_a2_3_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_a2_5_2_ (
        .combout(alu_func_0_0_0_a2_5[2]),
        .dataa(zz_ins_i_c_5),
        .datab(zz_ins_i_c_4),
        .datac(zz_ins_i_c_3),
        .datad(alu_func_0_0_0_a2_5_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_a2_5_2_.operation_mode="normal";
defparam alu_func_0_0_0_a2_5_2_.output_mode="comb_only";
defparam alu_func_0_0_0_a2_5_2_.lut_mask="0200";
defparam alu_func_0_0_0_a2_5_2_.synch_mode="off";
defparam alu_func_0_0_0_a2_5_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_0_0_0_a2_5_a_2_ (
        .combout(alu_func_0_0_0_a2_5_a[2]),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_28),
        .datad(zz_ins_i_c_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_0_0_0_a2_5_a_2_.operation_mode="normal";
defparam alu_func_0_0_0_a2_5_a_2_.output_mode="comb_only";
defparam alu_func_0_0_0_a2_5_a_2_.lut_mask="0001";
defparam alu_func_0_0_0_a2_5_a_2_.synch_mode="off";
defparam alu_func_0_0_0_a2_5_a_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_1_1_0_0_cZ (
        .combout(fsm_dly_1_1_0_0),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_27),
        .datac(fsm_dly_1_1_0_0_a_x),
        .datad(fsm_dly_1_1_0_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_1_1_0_0_cZ.operation_mode="normal";
defparam fsm_dly_1_1_0_0_cZ.output_mode="comb_only";
defparam fsm_dly_1_1_0_0_cZ.lut_mask="ff40";
defparam fsm_dly_1_1_0_0_cZ.synch_mode="off";
defparam fsm_dly_1_1_0_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell dmem_ctl_2_1_0_0_a_cZ (
        .combout(dmem_ctl_2_1_0_0_a),
        .dataa(zz_ins_i_c_28),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_29),
        .datad(zz_ins_i_c_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_2_1_0_0_a_cZ.operation_mode="normal";
defparam dmem_ctl_2_1_0_0_a_cZ.output_mode="comb_only";
defparam dmem_ctl_2_1_0_0_a_cZ.lut_mask="5402";
defparam dmem_ctl_2_1_0_0_a_cZ.synch_mode="off";
defparam dmem_ctl_2_1_0_0_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell rd_sel_0_1_0_0_0_a2_7_3_cZ (
        .combout(rd_sel_0_1_0_0_0_a2_7_3),
        .dataa(zz_ins_i_c_31),
        .datab(zz_ins_i_c_21),
        .datac(zz_ins_i_c_22),
        .datad(rd_sel_0_1_0_0_0_a2_7_3_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_0_1_0_0_0_a2_7_3_cZ.operation_mode="normal";
defparam rd_sel_0_1_0_0_0_a2_7_3_cZ.output_mode="comb_only";
defparam rd_sel_0_1_0_0_0_a2_7_3_cZ.lut_mask="0100";
defparam rd_sel_0_1_0_0_0_a2_7_3_cZ.synch_mode="off";
defparam rd_sel_0_1_0_0_0_a2_7_3_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell ext_ctl_0_0_a2_0_1_2_ (
        .combout(ext_ctl_0_0_a2_0_1_0),
        .dataa(zz_ins_i_c_4),
        .datab(ext_ctl_0_0_a2_0_1_a[2]),
        .datac(muxa_ctl_0_0_0_a2_1_1_x[1]),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ext_ctl_0_0_a2_0_1_2_.operation_mode="normal";
defparam ext_ctl_0_0_a2_0_1_2_.output_mode="comb_only";
defparam ext_ctl_0_0_a2_0_1_2_.lut_mask="4000";
defparam ext_ctl_0_0_a2_0_1_2_.synch_mode="off";
defparam ext_ctl_0_0_a2_0_1_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell ext_ctl_0_0_a2_0_1_a_2_ (
        .combout(ext_ctl_0_0_a2_0_1_a[2]),
        .dataa(zz_ins_i_c_1),
        .datab(zz_ins_i_c_0),
        .datac(zz_ins_i_c_29),
        .datad(zz_ins_i_c_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ext_ctl_0_0_a2_0_1_a_2_.operation_mode="normal";
defparam ext_ctl_0_0_a2_0_1_a_2_.output_mode="comb_only";
defparam ext_ctl_0_0_a2_0_1_a_2_.lut_mask="000b";
defparam ext_ctl_0_0_a2_0_1_a_2_.synch_mode="off";
defparam ext_ctl_0_0_a2_0_1_a_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell N_323_i_0_0_a2_cZ (
        .combout(N_323_i_0_0_a2),
        .dataa(zz_ins_i_c_5),
        .datab(zz_ins_i_c_2),
        .datac(N_323_i_0_0_a2_a),
        .datad(pc_gen_ctl_0_0_a2_3[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_323_i_0_0_a2_cZ.operation_mode="normal";
defparam N_323_i_0_0_a2_cZ.output_mode="comb_only";
defparam N_323_i_0_0_a2_cZ.lut_mask="1000";
defparam N_323_i_0_0_a2_cZ.synch_mode="off";
defparam N_323_i_0_0_a2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell N_323_i_0_0_a2_a_cZ (
        .combout(N_323_i_0_0_a2_a),
        .dataa(zz_ins_i_c_1),
        .datab(zz_ins_i_c_0),
        .datac(zz_ins_i_c_3),
        .datad(zz_ins_i_c_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_323_i_0_0_a2_a_cZ.operation_mode="normal";
defparam N_323_i_0_0_a2_a_cZ.output_mode="comb_only";
defparam N_323_i_0_0_a2_a_cZ.lut_mask="000b";
defparam N_323_i_0_0_a2_a_cZ.synch_mode="off";
defparam N_323_i_0_0_a2_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell N_323_i_0_0_a2_2_cZ (
        .combout(N_323_i_0_0_a2_2),
        .dataa(zz_ins_i_c_1),
        .datab(zz_ins_i_c_2),
        .datac(N_323_i_0_0_a2_2_a),
        .datad(pc_gen_ctl_0_0_a2_3[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_323_i_0_0_a2_2_cZ.operation_mode="normal";
defparam N_323_i_0_0_a2_2_cZ.output_mode="comb_only";
defparam N_323_i_0_0_a2_2_cZ.lut_mask="2000";
defparam N_323_i_0_0_a2_2_cZ.synch_mode="off";
defparam N_323_i_0_0_a2_2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell N_323_i_0_0_a2_2_a_cZ (
        .combout(N_323_i_0_0_a2_2_a),
        .dataa(zz_ins_i_c_3),
        .datab(zz_ins_i_c_0),
        .datac(zz_ins_i_c_5),
        .datad(zz_ins_i_c_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam N_323_i_0_0_a2_2_a_cZ.operation_mode="normal";
defparam N_323_i_0_0_a2_2_a_cZ.output_mode="comb_only";
defparam N_323_i_0_0_a2_2_a_cZ.lut_mask="0020";
defparam N_323_i_0_0_a2_2_a_cZ.synch_mode="off";
defparam N_323_i_0_0_a2_2_a_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_1_1_0_0_a2_0_cZ (
        .combout(fsm_dly_1_1_0_0_a2_0),
        .dataa(zz_ins_i_c_4),
        .datab(zz_ins_i_c_28),
        .datac(fsm_dly_1_1_0_0_a2_0_a_x),
        .datad(fsm_dly_1_1_0_0_a2_0_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_1_1_0_0_a2_0_cZ.operation_mode="normal";
defparam fsm_dly_1_1_0_0_a2_0_cZ.output_mode="comb_only";
defparam fsm_dly_1_1_0_0_a2_0_cZ.lut_mask="2000";
defparam fsm_dly_1_1_0_0_a2_0_cZ.synch_mode="off";
defparam fsm_dly_1_1_0_0_a2_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_1_1_0_0_0_a2_cZ (
        .combout(alu_func_1_1_0_0_0_a2),
        .dataa(zz_ins_i_c_27),
        .datab(zz_ins_i_c_26),
        .datac(zz_ins_i_c_28),
        .datad(alu_func_0_1_0_0_0_0_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_1_1_0_0_0_a2_cZ.operation_mode="normal";
defparam alu_func_1_1_0_0_0_a2_cZ.output_mode="comb_only";
defparam alu_func_1_1_0_0_0_a2_cZ.lut_mask="d000";
defparam alu_func_1_1_0_0_0_a2_cZ.synch_mode="off";
defparam alu_func_1_1_0_0_0_a2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell cmp_ctl_1_1_0_0_a2_2_cZ (
        .combout(cmp_ctl_1_1_0_0_a2_2),
        .dataa(zz_ins_i_c_18),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_26),
        .datad(pc_gen_ctl_0_a2_0_1_x[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmp_ctl_1_1_0_0_a2_2_cZ.operation_mode="normal";
defparam cmp_ctl_1_1_0_0_a2_2_cZ.output_mode="comb_only";
defparam cmp_ctl_1_1_0_0_a2_2_cZ.lut_mask="1000";
defparam cmp_ctl_1_1_0_0_a2_2_cZ.synch_mode="off";
defparam cmp_ctl_1_1_0_0_a2_2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell muxa_ctl_0_0_0_o2_1_1_ (
        .combout(muxa_ctl_0_0_0_o2_1[1]),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_5),
        .datac(zz_ins_i_c_3),
        .datad(muxa_ctl_0_0_0_o2_1_a_x[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_0_0_0_o2_1_1_.operation_mode="normal";
defparam muxa_ctl_0_0_0_o2_1_1_.output_mode="comb_only";
defparam muxa_ctl_0_0_0_o2_1_1_.lut_mask="0c4d";
defparam muxa_ctl_0_0_0_o2_1_1_.synch_mode="off";
defparam muxa_ctl_0_0_0_o2_1_1_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_0_1_0_0_a2_1_0_cZ (
        .combout(fsm_dly_0_1_0_0_a2_1_0),
        .dataa(zz_ins_i_c_27),
        .datab(zz_ins_i_c_26),
        .datac(zz_ins_i_c_0),
        .datad(fsm_dly_0_1_0_0_a2_1_0_a_x),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_0_1_0_0_a2_1_0_cZ.operation_mode="normal";
defparam fsm_dly_0_1_0_0_a2_1_0_cZ.output_mode="comb_only";
defparam fsm_dly_0_1_0_0_a2_1_0_cZ.lut_mask="0100";
defparam fsm_dly_0_1_0_0_a2_1_0_cZ.synch_mode="off";
defparam fsm_dly_0_1_0_0_a2_1_0_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_a2_1_2_ (
        .combout(pc_gen_ctl_0_0_a2_1_0),
        .dataa(zz_ins_i_c_27),
        .datab(zz_ins_i_c_29),
        .datac(cmp_ctl_2_1_0_0_a2_1_x),
        .datad(pc_gen_ctl_0_0_a2_3_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_a2_1_2_.operation_mode="normal";
defparam pc_gen_ctl_0_0_a2_1_2_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_a2_1_2_.lut_mask="f100";
defparam pc_gen_ctl_0_0_a2_1_2_.synch_mode="off";
defparam pc_gen_ctl_0_0_a2_1_2_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_o2_0_ (
        .combout(pc_gen_ctl_0_0_o2_0),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_30),
        .datac(zz_ins_i_c_31),
        .datad(pc_gen_ctl_0_0_o2_a_x[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_o2_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_o2_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_o2_0_.lut_mask="3202";
defparam pc_gen_ctl_0_0_o2_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_o2_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell fsm_dly_1_1_0_0_a2_0_1_cZ (
        .combout(fsm_dly_1_1_0_0_a2_0_1),
        .dataa(zz_ins_i_c_30),
        .datab(zz_ins_i_c_31),
        .datac(zz_ins_i_c_29),
        .datad(zz_ins_i_c_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam fsm_dly_1_1_0_0_a2_0_1_cZ.operation_mode="normal";
defparam fsm_dly_1_1_0_0_a2_0_1_cZ.output_mode="comb_only";
defparam fsm_dly_1_1_0_0_a2_0_1_cZ.lut_mask="0100";
defparam fsm_dly_1_1_0_0_a2_0_1_cZ.synch_mode="off";
defparam fsm_dly_1_1_0_0_a2_0_1_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell alu_func_4_1_0_0_0_a2_cZ (
        .combout(alu_func_4_1_0_0_0_a2),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_28),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_4_1_0_0_0_a2_cZ.operation_mode="normal";
defparam alu_func_4_1_0_0_0_a2_cZ.output_mode="comb_only";
defparam alu_func_4_1_0_0_0_a2_cZ.lut_mask="a800";
defparam alu_func_4_1_0_0_0_a2_cZ.synch_mode="off";
defparam alu_func_4_1_0_0_0_a2_cZ.sum_lutc_input="datac";
// @10:44
  cyclone_lcell pc_gen_ctl_0_0_a2_3_0_ (
        .combout(pc_gen_ctl_0_0_a2_3[0]),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_28),
        .datac(zz_ins_i_c_30),
        .datad(zz_ins_i_c_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_0_0_a2_3_0_.operation_mode="normal";
defparam pc_gen_ctl_0_0_a2_3_0_.output_mode="comb_only";
defparam pc_gen_ctl_0_0_a2_3_0_.lut_mask="0001";
defparam pc_gen_ctl_0_0_a2_3_0_.synch_mode="off";
defparam pc_gen_ctl_0_0_a2_3_0_.sum_lutc_input="datac";
// @10:44
  cyclone_lcell ext_ctl_0_0_a2_6_2_ (
        .combout(ext_ctl_0_0_a2_6[2]),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_27),
        .datac(zz_ins_i_c_30),
        .datad(zz_ins_i_c_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ext_ctl_0_0_a2_6_2_.operation_mode="normal";
defparam ext_ctl_0_0_a2_6_2_.output_mode="comb_only";
defparam ext_ctl_0_0_a2_6_2_.lut_mask="0008";
defparam ext_ctl_0_0_a2_6_2_.synch_mode="off";
defparam ext_ctl_0_0_a2_6_2_.sum_lutc_input="datac";
endmodule /* decoder */

// VQM4.1+ 
module muxb_ctl_reg_clr_cls (
  pc_gen_ctl_0_0_a2_1_0,
  pc_gen_ctl_0_0_a2_2_0,
  pc_gen_ctl_0_0_o2_0,
  zz_ins_i_c_5,
  zz_ins_i_c_0,
  muxb_ctl_o_0,
  muxb_ctl_o_1,
  muxb_ctl_0_1_0_0_0_a2_0,
  fsm_dly_1_1_0_0_a_x,
  muxb_ctl_0_1_0_0_0_a,
  NET1572_i_i,
  NET1606_i,
  clk_c
);
input pc_gen_ctl_0_0_a2_1_0 ;
input pc_gen_ctl_0_0_a2_2_0 ;
input pc_gen_ctl_0_0_o2_0 ;
input zz_ins_i_c_5 ;
input zz_ins_i_c_0 ;
output muxb_ctl_o_0 ;
output muxb_ctl_o_1 ;
input muxb_ctl_0_1_0_0_0_a2_0 ;
input fsm_dly_1_1_0_0_a_x ;
input muxb_ctl_0_1_0_0_0_a ;
input NET1572_i_i ;
input NET1606_i ;
input clk_c ;
wire pc_gen_ctl_0_0_a2_1_0 ;
wire pc_gen_ctl_0_0_a2_2_0 ;
wire pc_gen_ctl_0_0_o2_0 ;
wire zz_ins_i_c_5 ;
wire zz_ins_i_c_0 ;
wire muxb_ctl_o_0 ;
wire muxb_ctl_o_1 ;
wire muxb_ctl_0_1_0_0_0_a2_0 ;
wire fsm_dly_1_1_0_0_a_x ;
wire muxb_ctl_0_1_0_0_0_a ;
wire NET1572_i_i ;
wire NET1606_i ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:102
  cyclone_lcell muxb_ctl_o_1__Z (
        .regout(muxb_ctl_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(pc_gen_ctl_0_0_o2_0),
        .datac(pc_gen_ctl_0_0_a2_2_0),
        .datad(pc_gen_ctl_0_0_a2_1_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxb_ctl_o_1__Z.operation_mode="normal";
defparam muxb_ctl_o_1__Z.output_mode="reg_only";
defparam muxb_ctl_o_1__Z.lut_mask="ffdc";
defparam muxb_ctl_o_1__Z.synch_mode="on";
defparam muxb_ctl_o_1__Z.sum_lutc_input="datac";
// @18:102
  cyclone_lcell muxb_ctl_o_0__Z (
        .regout(muxb_ctl_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_5),
        .datab(muxb_ctl_0_1_0_0_0_a),
        .datac(fsm_dly_1_1_0_0_a_x),
        .datad(muxb_ctl_0_1_0_0_0_a2_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxb_ctl_o_0__Z.operation_mode="normal";
defparam muxb_ctl_o_0__Z.output_mode="reg_only";
defparam muxb_ctl_o_0__Z.lut_mask="ff10";
defparam muxb_ctl_o_0__Z.synch_mode="on";
defparam muxb_ctl_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* muxb_ctl_reg_clr_cls */

// VQM4.1+ 
module wb_mux_ctl_reg_clr_cls (
  pc_gen_ctl_0_0_a2_3_x_0,
  zz_ins_i_c_2,
  zz_ins_i_c_0,
  wb_mux_ctl_o_0,
  NET1572_i_i,
  NET1606_i,
  cmp_ctl_2_1_0_0_a2_1_x,
  clk_c
);
input pc_gen_ctl_0_0_a2_3_x_0 ;
input zz_ins_i_c_2 ;
input zz_ins_i_c_0 ;
output wb_mux_ctl_o_0 ;
input NET1572_i_i ;
input NET1606_i ;
input cmp_ctl_2_1_0_0_a2_1_x ;
input clk_c ;
wire pc_gen_ctl_0_0_a2_3_x_0 ;
wire zz_ins_i_c_2 ;
wire zz_ins_i_c_0 ;
wire wb_mux_ctl_o_0 ;
wire NET1572_i_i ;
wire NET1606_i ;
wire cmp_ctl_2_1_0_0_a2_1_x ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:106
  cyclone_lcell wb_mux_ctl_o_0__Z (
        .regout(wb_mux_ctl_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(zz_ins_i_c_2),
        .datac(cmp_ctl_2_1_0_0_a2_1_x),
        .datad(pc_gen_ctl_0_0_a2_3_x_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_mux_ctl_o_0__Z.operation_mode="normal";
defparam wb_mux_ctl_o_0__Z.output_mode="reg_only";
defparam wb_mux_ctl_o_0__Z.lut_mask="3100";
defparam wb_mux_ctl_o_0__Z.synch_mode="on";
defparam wb_mux_ctl_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* wb_mux_ctl_reg_clr_cls */

// VQM4.1+ 
module wb_we_reg_clr_cls (
  zz_ins_i_c_0,
  zz_ins_i_c_1,
  zz_ins_i_c_2,
  wb_we_o_0,
  NET1572_i_i,
  NET1606_i,
  wb_we_1df0_a,
  clk_c
);
input zz_ins_i_c_0 ;
input zz_ins_i_c_1 ;
input zz_ins_i_c_2 ;
output wb_we_o_0 ;
input NET1572_i_i ;
input NET1606_i ;
input wb_we_1df0_a ;
input clk_c ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_1 ;
wire zz_ins_i_c_2 ;
wire wb_we_o_0 ;
wire NET1572_i_i ;
wire NET1606_i ;
wire wb_we_1df0_a ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:107
  cyclone_lcell wb_we_o_0__Z (
        .regout(wb_we_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_2),
        .datab(zz_ins_i_c_1),
        .datac(zz_ins_i_c_0),
        .datad(wb_we_1df0_a),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_we_o_0__Z.operation_mode="normal";
defparam wb_we_o_0__Z.output_mode="reg_only";
defparam wb_we_o_0__Z.lut_mask="1200";
defparam wb_we_o_0__Z.synch_mode="on";
defparam wb_we_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* wb_we_reg_clr_cls */

// VQM4.1+ 
module wb_we_reg (
  wb_we_o_1_0,
  wb_we_o_0_0,
  wb_we_o_0,
  clk_c
);
input wb_we_o_1_0 ;
input wb_we_o_0_0 ;
output wb_we_o_0 ;
input clk_c ;
wire wb_we_o_1_0 ;
wire wb_we_o_0_0 ;
wire wb_we_o_0 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:153
  cyclone_lcell wb_we_o_0__Z (
        .regout(wb_we_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(wb_we_o_0_0),
        .datad(wb_we_o_1_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_we_o_0__Z.operation_mode="normal";
defparam wb_we_o_0__Z.output_mode="reg_only";
defparam wb_we_o_0__Z.lut_mask="fff0";
defparam wb_we_o_0__Z.synch_mode="off";
defparam wb_we_o_0__Z.sum_lutc_input="datac";
endmodule /* wb_we_reg */

// VQM4.1+ 
module wb_mux_ctl_reg_clr (
  wb_mux_ctl_o_0_0,
  wb_mux_ctl_o_0,
  ra2exec_ctl_clr_i_a2_0_a2,
  clk_c
);
input wb_mux_ctl_o_0_0 ;
output wb_mux_ctl_o_0 ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
input clk_c ;
wire wb_mux_ctl_o_0_0 ;
wire wb_mux_ctl_o_0 ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire ra2exec_ctl_clr_i_a2_0_a2_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:129
  cyclone_lcell wb_mux_ctl_o_0__Z (
        .regout(wb_mux_ctl_o_0),
        .clk(clk_c),
        .dataa(wb_mux_ctl_o_0_0),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_mux_ctl_o_0__Z.operation_mode="normal";
defparam wb_mux_ctl_o_0__Z.output_mode="reg_only";
defparam wb_mux_ctl_o_0__Z.lut_mask="aaaa";
defparam wb_mux_ctl_o_0__Z.synch_mode="on";
defparam wb_mux_ctl_o_0__Z.sum_lutc_input="datac";
  assign  ra2exec_ctl_clr_i_a2_0_a2_i = ~ ra2exec_ctl_clr_i_a2_0_a2;
endmodule /* wb_mux_ctl_reg_clr */

// VQM4.1+ 
module muxb_ctl_reg_clr (
  muxb_ctl_o_0_0,
  muxb_ctl_o_0_1,
  muxb_ctl_o_0,
  muxb_ctl_o_1,
  ra2exec_ctl_clr_i_a2_0_a2,
  clk_c
);
input muxb_ctl_o_0_0 ;
input muxb_ctl_o_0_1 ;
output muxb_ctl_o_0 ;
output muxb_ctl_o_1 ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
input clk_c ;
wire muxb_ctl_o_0_0 ;
wire muxb_ctl_o_0_1 ;
wire muxb_ctl_o_0 ;
wire muxb_ctl_o_1 ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire ra2exec_ctl_clr_i_a2_0_a2_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:125
  cyclone_lcell muxb_ctl_o_1__Z (
        .regout(muxb_ctl_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(muxb_ctl_o_0_1),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxb_ctl_o_1__Z.operation_mode="normal";
defparam muxb_ctl_o_1__Z.output_mode="reg_only";
defparam muxb_ctl_o_1__Z.lut_mask="ff00";
defparam muxb_ctl_o_1__Z.synch_mode="on";
defparam muxb_ctl_o_1__Z.sum_lutc_input="datac";
// @18:125
  cyclone_lcell muxb_ctl_o_0__Z (
        .regout(muxb_ctl_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(muxb_ctl_o_0_0),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxb_ctl_o_0__Z.operation_mode="normal";
defparam muxb_ctl_o_0__Z.output_mode="reg_only";
defparam muxb_ctl_o_0__Z.lut_mask="ff00";
defparam muxb_ctl_o_0__Z.synch_mode="on";
defparam muxb_ctl_o_0__Z.sum_lutc_input="datac";
  assign  ra2exec_ctl_clr_i_a2_0_a2_i = ~ ra2exec_ctl_clr_i_a2_0_a2;
endmodule /* muxb_ctl_reg_clr */

// VQM4.1+ 
module dmem_ctl_reg_clr (
  dmem_ctl_o_0_0,
  dmem_ctl_o_0_1,
  dmem_ctl_o_0_2,
  dmem_ctl_o_0_3,
  dmem_ctl_o_0,
  dmem_ctl_o_1,
  dmem_ctl_o_2,
  dmem_ctl_o_3,
  ra2exec_ctl_clr_i_a2_0_a2,
  clk_c
);
input dmem_ctl_o_0_0 ;
input dmem_ctl_o_0_1 ;
input dmem_ctl_o_0_2 ;
input dmem_ctl_o_0_3 ;
output dmem_ctl_o_0 ;
output dmem_ctl_o_1 ;
output dmem_ctl_o_2 ;
output dmem_ctl_o_3 ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
input clk_c ;
wire dmem_ctl_o_0_0 ;
wire dmem_ctl_o_0_1 ;
wire dmem_ctl_o_0_2 ;
wire dmem_ctl_o_0_3 ;
wire dmem_ctl_o_0 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_2 ;
wire dmem_ctl_o_3 ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire ra2exec_ctl_clr_i_a2_0_a2_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:128
  cyclone_lcell dmem_ctl_o_3__Z (
        .regout(dmem_ctl_o_3),
        .clk(clk_c),
        .dataa(dmem_ctl_o_0_3),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_3__Z.operation_mode="normal";
defparam dmem_ctl_o_3__Z.output_mode="reg_only";
defparam dmem_ctl_o_3__Z.lut_mask="aaaa";
defparam dmem_ctl_o_3__Z.synch_mode="on";
defparam dmem_ctl_o_3__Z.sum_lutc_input="datac";
// @18:128
  cyclone_lcell dmem_ctl_o_2__Z (
        .regout(dmem_ctl_o_2),
        .clk(clk_c),
        .dataa(dmem_ctl_o_0_2),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_2__Z.operation_mode="normal";
defparam dmem_ctl_o_2__Z.output_mode="reg_only";
defparam dmem_ctl_o_2__Z.lut_mask="aaaa";
defparam dmem_ctl_o_2__Z.synch_mode="on";
defparam dmem_ctl_o_2__Z.sum_lutc_input="datac";
// @18:128
  cyclone_lcell dmem_ctl_o_1__Z (
        .regout(dmem_ctl_o_1),
        .clk(clk_c),
        .dataa(dmem_ctl_o_0_1),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_1__Z.operation_mode="normal";
defparam dmem_ctl_o_1__Z.output_mode="reg_only";
defparam dmem_ctl_o_1__Z.lut_mask="aaaa";
defparam dmem_ctl_o_1__Z.synch_mode="on";
defparam dmem_ctl_o_1__Z.sum_lutc_input="datac";
// @18:128
  cyclone_lcell dmem_ctl_o_0__Z (
        .regout(dmem_ctl_o_0),
        .clk(clk_c),
        .dataa(dmem_ctl_o_0_0),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_0__Z.operation_mode="normal";
defparam dmem_ctl_o_0__Z.output_mode="reg_only";
defparam dmem_ctl_o_0__Z.lut_mask="aaaa";
defparam dmem_ctl_o_0__Z.synch_mode="on";
defparam dmem_ctl_o_0__Z.sum_lutc_input="datac";
  assign  ra2exec_ctl_clr_i_a2_0_a2_i = ~ ra2exec_ctl_clr_i_a2_0_a2;
endmodule /* dmem_ctl_reg_clr */

// VQM4.1+ 
module alu_func_reg_clr (
  alu_func_o_0_0,
  alu_func_o_0_1,
  alu_func_o_0_2,
  alu_func_o_0_3,
  alu_func_o_0_4,
  alu_func_o_0,
  alu_func_o_1,
  alu_func_o_2,
  alu_func_o_3,
  alu_func_o_4,
  ra2exec_ctl_clr_i_a2_0_a2,
  clk_c
);
input alu_func_o_0_0 ;
input alu_func_o_0_1 ;
input alu_func_o_0_2 ;
input alu_func_o_0_3 ;
input alu_func_o_0_4 ;
output alu_func_o_0 ;
output alu_func_o_1 ;
output alu_func_o_2 ;
output alu_func_o_3 ;
output alu_func_o_4 ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
input clk_c ;
wire alu_func_o_0_0 ;
wire alu_func_o_0_1 ;
wire alu_func_o_0_2 ;
wire alu_func_o_0_3 ;
wire alu_func_o_0_4 ;
wire alu_func_o_0 ;
wire alu_func_o_1 ;
wire alu_func_o_2 ;
wire alu_func_o_3 ;
wire alu_func_o_4 ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire ra2exec_ctl_clr_i_a2_0_a2_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:126
  cyclone_lcell alu_func_o_4__Z (
        .regout(alu_func_o_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(alu_func_o_0_4),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_4__Z.operation_mode="normal";
defparam alu_func_o_4__Z.output_mode="reg_only";
defparam alu_func_o_4__Z.lut_mask="ff00";
defparam alu_func_o_4__Z.synch_mode="on";
defparam alu_func_o_4__Z.sum_lutc_input="datac";
// @18:126
  cyclone_lcell alu_func_o_3__Z (
        .regout(alu_func_o_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(alu_func_o_0_3),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_3__Z.operation_mode="normal";
defparam alu_func_o_3__Z.output_mode="reg_only";
defparam alu_func_o_3__Z.lut_mask="ff00";
defparam alu_func_o_3__Z.synch_mode="on";
defparam alu_func_o_3__Z.sum_lutc_input="datac";
// @18:126
  cyclone_lcell alu_func_o_2__Z (
        .regout(alu_func_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(alu_func_o_0_2),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_2__Z.operation_mode="normal";
defparam alu_func_o_2__Z.output_mode="reg_only";
defparam alu_func_o_2__Z.lut_mask="ff00";
defparam alu_func_o_2__Z.synch_mode="on";
defparam alu_func_o_2__Z.sum_lutc_input="datac";
// @18:126
  cyclone_lcell alu_func_o_1__Z (
        .regout(alu_func_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(alu_func_o_0_1),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_1__Z.operation_mode="normal";
defparam alu_func_o_1__Z.output_mode="reg_only";
defparam alu_func_o_1__Z.lut_mask="ff00";
defparam alu_func_o_1__Z.synch_mode="on";
defparam alu_func_o_1__Z.sum_lutc_input="datac";
// @18:126
  cyclone_lcell alu_func_o_0__Z (
        .regout(alu_func_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(alu_func_o_0_0),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_0__Z.operation_mode="normal";
defparam alu_func_o_0__Z.output_mode="reg_only";
defparam alu_func_o_0__Z.lut_mask="ff00";
defparam alu_func_o_0__Z.synch_mode="on";
defparam alu_func_o_0__Z.sum_lutc_input="datac";
  assign  ra2exec_ctl_clr_i_a2_0_a2_i = ~ ra2exec_ctl_clr_i_a2_0_a2;
endmodule /* alu_func_reg_clr */

// VQM4.1+ 
module muxa_ctl_reg_clr (
  muxa_ctl_o_0_0,
  muxa_ctl_o_0_1,
  muxa_ctl_o_0,
  muxa_ctl_o_1,
  ra2exec_ctl_clr_i_a2_0_a2,
  clk_c
);
input muxa_ctl_o_0_0 ;
input muxa_ctl_o_0_1 ;
output muxa_ctl_o_0 ;
output muxa_ctl_o_1 ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
input clk_c ;
wire muxa_ctl_o_0_0 ;
wire muxa_ctl_o_0_1 ;
wire muxa_ctl_o_0 ;
wire muxa_ctl_o_1 ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire ra2exec_ctl_clr_i_a2_0_a2_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:124
  cyclone_lcell muxa_ctl_o_1__Z (
        .regout(muxa_ctl_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(muxa_ctl_o_0_1),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_o_1__Z.operation_mode="normal";
defparam muxa_ctl_o_1__Z.output_mode="reg_only";
defparam muxa_ctl_o_1__Z.lut_mask="ff00";
defparam muxa_ctl_o_1__Z.synch_mode="on";
defparam muxa_ctl_o_1__Z.sum_lutc_input="datac";
// @18:124
  cyclone_lcell muxa_ctl_o_0__Z (
        .regout(muxa_ctl_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(muxa_ctl_o_0_0),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_o_0__Z.operation_mode="normal";
defparam muxa_ctl_o_0__Z.output_mode="reg_only";
defparam muxa_ctl_o_0__Z.lut_mask="ff00";
defparam muxa_ctl_o_0__Z.synch_mode="on";
defparam muxa_ctl_o_0__Z.sum_lutc_input="datac";
  assign  ra2exec_ctl_clr_i_a2_0_a2_i = ~ ra2exec_ctl_clr_i_a2_0_a2;
endmodule /* muxa_ctl_reg_clr */

// VQM4.1+ 
module wb_mux_ctl_reg (
  wb_mux_ctl_o_0_0,
  wb_mux_ctl_o_0,
  clk_c
);
input wb_mux_ctl_o_0_0 ;
output wb_mux_ctl_o_0 ;
input clk_c ;
wire wb_mux_ctl_o_0_0 ;
wire wb_mux_ctl_o_0 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:152
  cyclone_lcell wb_mux_ctl_o_0__Z (
        .regout(wb_mux_ctl_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(wb_mux_ctl_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_mux_ctl_o_0__Z.operation_mode="normal";
defparam wb_mux_ctl_o_0__Z.output_mode="reg_only";
defparam wb_mux_ctl_o_0__Z.lut_mask="ff00";
defparam wb_mux_ctl_o_0__Z.synch_mode="off";
defparam wb_mux_ctl_o_0__Z.sum_lutc_input="datac";
endmodule /* wb_mux_ctl_reg */

// VQM4.1+ 
module wb_we_reg_clr (
  wb_we_o_0_0,
  wb_we_o_0,
  ra2exec_ctl_clr_i_a2_0_a2,
  clk_c
);
input wb_we_o_0_0 ;
output wb_we_o_0 ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
input clk_c ;
wire wb_we_o_0_0 ;
wire wb_we_o_0 ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire ra2exec_ctl_clr_i_a2_0_a2_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:130
  cyclone_lcell wb_we_o_0__Z (
        .regout(wb_we_o_0),
        .clk(clk_c),
        .dataa(wb_we_o_0_0),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_we_o_0__Z.operation_mode="normal";
defparam wb_we_o_0__Z.output_mode="reg_only";
defparam wb_we_o_0__Z.lut_mask="aaaa";
defparam wb_we_o_0__Z.synch_mode="on";
defparam wb_we_o_0__Z.sum_lutc_input="datac";
  assign  ra2exec_ctl_clr_i_a2_0_a2_i = ~ ra2exec_ctl_clr_i_a2_0_a2;
endmodule /* wb_we_reg_clr */

// VQM4.1+ 
module cmp_ctl_reg_clr_cls (
  pc_gen_ctl_0_0_a2_2_x_0,
  zz_ins_i_c_11,
  zz_ins_i_c_12,
  zz_ins_i_c_0,
  zz_ins_i_c_13,
  zz_ins_i_c_10,
  cmp_ctl_o_0,
  cmp_ctl_o_1,
  cmp_ctl_o_2,
  cmp_ctl_1_1_0_0_a,
  fsm_dly_1_1_0_0_a_x,
  cmp_ctl_1_1_0_0_a2_2,
  NET1572_i_i,
  NET1606_i,
  cmp_ctl_2_1_0_0_a,
  clk_c
);
input pc_gen_ctl_0_0_a2_2_x_0 ;
input zz_ins_i_c_11 ;
input zz_ins_i_c_12 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_13 ;
input zz_ins_i_c_10 ;
output cmp_ctl_o_0 ;
output cmp_ctl_o_1 ;
output cmp_ctl_o_2 ;
input cmp_ctl_1_1_0_0_a ;
input fsm_dly_1_1_0_0_a_x ;
input cmp_ctl_1_1_0_0_a2_2 ;
input NET1572_i_i ;
input NET1606_i ;
input cmp_ctl_2_1_0_0_a ;
input clk_c ;
wire pc_gen_ctl_0_0_a2_2_x_0 ;
wire zz_ins_i_c_11 ;
wire zz_ins_i_c_12 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_13 ;
wire zz_ins_i_c_10 ;
wire cmp_ctl_o_0 ;
wire cmp_ctl_o_1 ;
wire cmp_ctl_o_2 ;
wire cmp_ctl_1_1_0_0_a ;
wire fsm_dly_1_1_0_0_a_x ;
wire cmp_ctl_1_1_0_0_a2_2 ;
wire NET1572_i_i ;
wire NET1606_i ;
wire cmp_ctl_2_1_0_0_a ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:98
  cyclone_lcell cmp_ctl_o_2__Z (
        .regout(cmp_ctl_o_2),
        .clk(clk_c),
        .dataa(zz_ins_i_c_10),
        .datab(zz_ins_i_c_13),
        .datac(cmp_ctl_2_1_0_0_a),
        .datad(pc_gen_ctl_0_0_a2_2_x_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmp_ctl_o_2__Z.operation_mode="normal";
defparam cmp_ctl_o_2__Z.output_mode="reg_only";
defparam cmp_ctl_o_2__Z.lut_mask="0200";
defparam cmp_ctl_o_2__Z.synch_mode="on";
defparam cmp_ctl_o_2__Z.sum_lutc_input="datac";
// @18:98
  cyclone_lcell cmp_ctl_o_1__Z (
        .regout(cmp_ctl_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(cmp_ctl_1_1_0_0_a2_2),
        .datac(fsm_dly_1_1_0_0_a_x),
        .datad(cmp_ctl_1_1_0_0_a),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmp_ctl_o_1__Z.operation_mode="normal";
defparam cmp_ctl_o_1__Z.output_mode="reg_only";
defparam cmp_ctl_o_1__Z.lut_mask="f040";
defparam cmp_ctl_o_1__Z.synch_mode="on";
defparam cmp_ctl_o_1__Z.sum_lutc_input="datac";
// @18:98
  cyclone_lcell cmp_ctl_o_0__Z (
        .regout(cmp_ctl_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_12),
        .datab(zz_ins_i_c_11),
        .datac(zz_ins_i_c_10),
        .datad(fsm_dly_1_1_0_0_a_x),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmp_ctl_o_0__Z.operation_mode="normal";
defparam cmp_ctl_o_0__Z.output_mode="reg_only";
defparam cmp_ctl_o_0__Z.lut_mask="8a00";
defparam cmp_ctl_o_0__Z.synch_mode="on";
defparam cmp_ctl_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* cmp_ctl_reg_clr_cls */

// VQM4.1+ 
module wb_we_reg_1 (
  wb_we_o_0_0,
  wb_we_o_0,
  clk_c
);
input wb_we_o_0_0 ;
output wb_we_o_0 ;
input clk_c ;
wire wb_we_o_0_0 ;
wire wb_we_o_0 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:153
  cyclone_lcell wb_we_o_0__Z (
        .regout(wb_we_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(wb_we_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_we_o_0__Z.operation_mode="normal";
defparam wb_we_o_0__Z.output_mode="reg_only";
defparam wb_we_o_0__Z.lut_mask="ff00";
defparam wb_we_o_0__Z.synch_mode="off";
defparam wb_we_o_0__Z.sum_lutc_input="datac";
endmodule /* wb_we_reg_1 */

// VQM4.1+ 
module wb_mux_ctl_reg_1 (
  wb_mux_ctl_o_0_0,
  wb_mux_ctl_o_0,
  clk_c
);
input wb_mux_ctl_o_0_0 ;
output wb_mux_ctl_o_0 ;
input clk_c ;
wire wb_mux_ctl_o_0_0 ;
wire wb_mux_ctl_o_0 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:152
  cyclone_lcell wb_mux_ctl_o_0__Z (
        .regout(wb_mux_ctl_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(wb_mux_ctl_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_mux_ctl_o_0__Z.operation_mode="normal";
defparam wb_mux_ctl_o_0__Z.output_mode="reg_only";
defparam wb_mux_ctl_o_0__Z.lut_mask="ff00";
defparam wb_mux_ctl_o_0__Z.synch_mode="off";
defparam wb_mux_ctl_o_0__Z.sum_lutc_input="datac";
endmodule /* wb_mux_ctl_reg_1 */

// VQM4.1+ 
module wb_we_reg_2 (
  alu_we_o_0,
  wb_we_o_0,
  clk_c
);
input alu_we_o_0 ;
output wb_we_o_0 ;
input clk_c ;
wire alu_we_o_0 ;
wire wb_we_o_0 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:153
  cyclone_lcell wb_we_o_0__Z (
        .regout(wb_we_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(alu_we_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wb_we_o_0__Z.operation_mode="normal";
defparam wb_we_o_0__Z.output_mode="reg_only";
defparam wb_we_o_0__Z.lut_mask="ff00";
defparam wb_we_o_0__Z.synch_mode="off";
defparam wb_we_o_0__Z.sum_lutc_input="datac";
endmodule /* wb_we_reg_2 */

// VQM4.1+ 
module alu_we_reg_clr (
  alu_we_o_0_0,
  alu_we_o_0,
  ra2exec_ctl_clr_i_a2_0_a2,
  clk_c
);
input alu_we_o_0_0 ;
output alu_we_o_0 ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
input clk_c ;
wire alu_we_o_0_0 ;
wire alu_we_o_0 ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire ra2exec_ctl_clr_i_a2_0_a2_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:127
  cyclone_lcell alu_we_o_0__Z (
        .regout(alu_we_o_0),
        .clk(clk_c),
        .dataa(alu_we_o_0_0),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(ra2exec_ctl_clr_i_a2_0_a2_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_we_o_0__Z.operation_mode="normal";
defparam alu_we_o_0__Z.output_mode="reg_only";
defparam alu_we_o_0__Z.lut_mask="aaaa";
defparam alu_we_o_0__Z.synch_mode="on";
defparam alu_we_o_0__Z.sum_lutc_input="datac";
  assign  ra2exec_ctl_clr_i_a2_0_a2_i = ~ ra2exec_ctl_clr_i_a2_0_a2;
endmodule /* alu_we_reg_clr */

// VQM4.1+ 
module alu_func_reg_clr_cls (
  alu_func_0_0_0_3_0,
  pc_gen_ctl_0_0_a2_1_0,
  muxa_ctl_0_0_0_a2_0_0_0,
  alu_func_0_0_0_o2_0_0,
  zz_ins_i_c_26,
  zz_ins_i_c_27,
  zz_ins_i_c_0,
  alu_func_o_0,
  alu_func_o_1,
  alu_func_o_2,
  alu_func_o_3,
  alu_func_o_4,
  alu_func_0_1_0_0_0_3,
  alu_func_0_1_0_0_0_a2,
  alu_func_1_1_0_0_0_2,
  rd_sel_0_1_0_0_0_a2_0_0,
  alu_func_1_1_0_0_0_a2,
  NET1572_i_i,
  NET1606_i,
  alu_func_4_1_0_0_0_1,
  alu_func_4_1_0_0_0_a2_3,
  alu_func_4_1_0_0_0_a2_2_0,
  clk_c
);
input alu_func_0_0_0_3_0 ;
input pc_gen_ctl_0_0_a2_1_0 ;
input muxa_ctl_0_0_0_a2_0_0_0 ;
input alu_func_0_0_0_o2_0_0 ;
input zz_ins_i_c_26 ;
input zz_ins_i_c_27 ;
input zz_ins_i_c_0 ;
output alu_func_o_0 ;
output alu_func_o_1 ;
output alu_func_o_2 ;
output alu_func_o_3 ;
output alu_func_o_4 ;
input alu_func_0_1_0_0_0_3 ;
input alu_func_0_1_0_0_0_a2 ;
input alu_func_1_1_0_0_0_2 ;
input rd_sel_0_1_0_0_0_a2_0_0 ;
input alu_func_1_1_0_0_0_a2 ;
input NET1572_i_i ;
input NET1606_i ;
input alu_func_4_1_0_0_0_1 ;
input alu_func_4_1_0_0_0_a2_3 ;
input alu_func_4_1_0_0_0_a2_2_0 ;
input clk_c ;
wire alu_func_0_0_0_3_0 ;
wire pc_gen_ctl_0_0_a2_1_0 ;
wire muxa_ctl_0_0_0_a2_0_0_0 ;
wire alu_func_0_0_0_o2_0_0 ;
wire zz_ins_i_c_26 ;
wire zz_ins_i_c_27 ;
wire zz_ins_i_c_0 ;
wire alu_func_o_0 ;
wire alu_func_o_1 ;
wire alu_func_o_2 ;
wire alu_func_o_3 ;
wire alu_func_o_4 ;
wire alu_func_0_1_0_0_0_3 ;
wire alu_func_0_1_0_0_0_a2 ;
wire alu_func_1_1_0_0_0_2 ;
wire rd_sel_0_1_0_0_0_a2_0_0 ;
wire alu_func_1_1_0_0_0_a2 ;
wire NET1572_i_i ;
wire NET1606_i ;
wire alu_func_4_1_0_0_0_1 ;
wire alu_func_4_1_0_0_0_a2_3 ;
wire alu_func_4_1_0_0_0_a2_2_0 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:103
  cyclone_lcell alu_func_o_4__Z (
        .regout(alu_func_o_4),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(alu_func_4_1_0_0_0_a2_2_0),
        .datac(alu_func_4_1_0_0_0_a2_3),
        .datad(alu_func_4_1_0_0_0_1),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_4__Z.operation_mode="normal";
defparam alu_func_o_4__Z.output_mode="reg_only";
defparam alu_func_o_4__Z.lut_mask="fff4";
defparam alu_func_o_4__Z.synch_mode="on";
defparam alu_func_o_4__Z.sum_lutc_input="datac";
// @18:103
  cyclone_lcell alu_func_o_3__Z (
        .regout(alu_func_o_3),
        .clk(clk_c),
        .dataa(zz_ins_i_c_27),
        .datab(alu_func_0_0_0_o2_0_0),
        .datac(muxa_ctl_0_0_0_a2_0_0_0),
        .datad(pc_gen_ctl_0_0_a2_1_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_3__Z.operation_mode="normal";
defparam alu_func_o_3__Z.output_mode="reg_only";
defparam alu_func_o_3__Z.lut_mask="ff54";
defparam alu_func_o_3__Z.synch_mode="on";
defparam alu_func_o_3__Z.sum_lutc_input="datac";
// @18:103
  cyclone_lcell alu_func_o_2__Z (
        .regout(alu_func_o_2),
        .clk(clk_c),
        .dataa(zz_ins_i_c_27),
        .datab(alu_func_0_0_0_o2_0_0),
        .datac(alu_func_0_0_0_3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_2__Z.operation_mode="normal";
defparam alu_func_o_2__Z.output_mode="reg_only";
defparam alu_func_o_2__Z.lut_mask="f4f4";
defparam alu_func_o_2__Z.synch_mode="on";
defparam alu_func_o_2__Z.sum_lutc_input="datac";
// @18:103
  cyclone_lcell alu_func_o_1__Z (
        .regout(alu_func_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_26),
        .datab(alu_func_1_1_0_0_0_a2),
        .datac(rd_sel_0_1_0_0_0_a2_0_0),
        .datad(alu_func_1_1_0_0_0_2),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_1__Z.operation_mode="normal";
defparam alu_func_o_1__Z.output_mode="reg_only";
defparam alu_func_o_1__Z.lut_mask="ffdc";
defparam alu_func_o_1__Z.synch_mode="on";
defparam alu_func_o_1__Z.sum_lutc_input="datac";
// @18:103
  cyclone_lcell alu_func_o_0__Z (
        .regout(alu_func_o_0),
        .clk(clk_c),
        .dataa(alu_func_0_1_0_0_0_a2),
        .datab(alu_func_0_1_0_0_0_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_func_o_0__Z.operation_mode="normal";
defparam alu_func_o_0__Z.output_mode="reg_only";
defparam alu_func_o_0__Z.lut_mask="eeee";
defparam alu_func_o_0__Z.synch_mode="on";
defparam alu_func_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* alu_func_reg_clr_cls */

// VQM4.1+ 
module dmem_ctl_reg_clr_cls (
  pc_gen_ctl_0_0_a2_2_0,
  pc_gen_ctl_0_0_a2_3_x_0,
  zz_ins_i_c_0,
  zz_ins_i_c_8,
  zz_ins_i_c_7,
  zz_ins_i_c_3,
  zz_ins_i_c_6,
  zz_ins_i_c_4,
  dmem_ctl_o_0,
  dmem_ctl_o_1,
  dmem_ctl_o_2,
  dmem_ctl_o_3,
  dmem_ctl_0_1_0_0_a,
  dmem_ctl_1_1_0_0_a,
  dmem_ctl_2_1_0_0_a,
  NET1572_i_i,
  NET1606_i,
  clk_c
);
input pc_gen_ctl_0_0_a2_2_0 ;
input pc_gen_ctl_0_0_a2_3_x_0 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_8 ;
input zz_ins_i_c_7 ;
input zz_ins_i_c_3 ;
input zz_ins_i_c_6 ;
input zz_ins_i_c_4 ;
output dmem_ctl_o_0 ;
output dmem_ctl_o_1 ;
output dmem_ctl_o_2 ;
output dmem_ctl_o_3 ;
input dmem_ctl_0_1_0_0_a ;
input dmem_ctl_1_1_0_0_a ;
input dmem_ctl_2_1_0_0_a ;
input NET1572_i_i ;
input NET1606_i ;
input clk_c ;
wire pc_gen_ctl_0_0_a2_2_0 ;
wire pc_gen_ctl_0_0_a2_3_x_0 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_8 ;
wire zz_ins_i_c_7 ;
wire zz_ins_i_c_3 ;
wire zz_ins_i_c_6 ;
wire zz_ins_i_c_4 ;
wire dmem_ctl_o_0 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_2 ;
wire dmem_ctl_o_3 ;
wire dmem_ctl_0_1_0_0_a ;
wire dmem_ctl_1_1_0_0_a ;
wire dmem_ctl_2_1_0_0_a ;
wire NET1572_i_i ;
wire NET1606_i ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:105
  cyclone_lcell dmem_ctl_o_3__Z (
        .regout(dmem_ctl_o_3),
        .clk(clk_c),
        .dataa(zz_ins_i_c_4),
        .datab(zz_ins_i_c_6),
        .datac(zz_ins_i_c_3),
        .datad(pc_gen_ctl_0_0_a2_3_x_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_3__Z.operation_mode="normal";
defparam dmem_ctl_o_3__Z.output_mode="reg_only";
defparam dmem_ctl_o_3__Z.lut_mask="1000";
defparam dmem_ctl_o_3__Z.synch_mode="on";
defparam dmem_ctl_o_3__Z.sum_lutc_input="datac";
// @18:105
  cyclone_lcell dmem_ctl_o_2__Z (
        .regout(dmem_ctl_o_2),
        .clk(clk_c),
        .dataa(zz_ins_i_c_7),
        .datab(zz_ins_i_c_8),
        .datac(dmem_ctl_2_1_0_0_a),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_2__Z.operation_mode="normal";
defparam dmem_ctl_o_2__Z.output_mode="reg_only";
defparam dmem_ctl_o_2__Z.lut_mask="4040";
defparam dmem_ctl_o_2__Z.synch_mode="on";
defparam dmem_ctl_o_2__Z.sum_lutc_input="datac";
// @18:105
  cyclone_lcell dmem_ctl_o_1__Z (
        .regout(dmem_ctl_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(dmem_ctl_1_1_0_0_a),
        .datac(pc_gen_ctl_0_0_a2_3_x_0),
        .datad(pc_gen_ctl_0_0_a2_2_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_1__Z.operation_mode="normal";
defparam dmem_ctl_o_1__Z.output_mode="reg_only";
defparam dmem_ctl_o_1__Z.lut_mask="d5c0";
defparam dmem_ctl_o_1__Z.synch_mode="on";
defparam dmem_ctl_o_1__Z.sum_lutc_input="datac";
// @18:105
  cyclone_lcell dmem_ctl_o_0__Z (
        .regout(dmem_ctl_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(dmem_ctl_0_1_0_0_a),
        .datac(pc_gen_ctl_0_0_a2_3_x_0),
        .datad(pc_gen_ctl_0_0_a2_2_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_0__Z.operation_mode="normal";
defparam dmem_ctl_o_0__Z.output_mode="reg_only";
defparam dmem_ctl_o_0__Z.lut_mask="d5c0";
defparam dmem_ctl_o_0__Z.synch_mode="on";
defparam dmem_ctl_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* dmem_ctl_reg_clr_cls */

// VQM4.1+ 
module ext_ctl_reg_clr_cls (
  ext_ctl_0_0_a_0,
  ext_ctl_0_0_a2_0_1_0,
  zz_ins_i_c_26,
  zz_ins_i_c_28,
  zz_ins_i_c_29,
  zz_ins_i_c_0,
  zz_ins_i_c_3,
  ext_ctl_o_0,
  ext_ctl_o_1,
  ext_ctl_o_2,
  N_323_i_0_0_1_x,
  N_323_i_0_0_a,
  ext_ctlk_1_1_0_0_a,
  NET1572_i_i,
  NET1606_i,
  clk_c
);
input ext_ctl_0_0_a_0 ;
input ext_ctl_0_0_a2_0_1_0 ;
input zz_ins_i_c_26 ;
input zz_ins_i_c_28 ;
input zz_ins_i_c_29 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_3 ;
output ext_ctl_o_0 ;
output ext_ctl_o_1 ;
output ext_ctl_o_2 ;
input N_323_i_0_0_1_x ;
input N_323_i_0_0_a ;
input ext_ctlk_1_1_0_0_a ;
input NET1572_i_i ;
input NET1606_i ;
input clk_c ;
wire ext_ctl_0_0_a_0 ;
wire ext_ctl_0_0_a2_0_1_0 ;
wire zz_ins_i_c_26 ;
wire zz_ins_i_c_28 ;
wire zz_ins_i_c_29 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_3 ;
wire ext_ctl_o_0 ;
wire ext_ctl_o_1 ;
wire ext_ctl_o_2 ;
wire N_323_i_0_0_1_x ;
wire N_323_i_0_0_a ;
wire ext_ctlk_1_1_0_0_a ;
wire NET1572_i_i ;
wire NET1606_i ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:96
  cyclone_lcell ext_ctl_o_2__Z (
        .regout(ext_ctl_o_2),
        .clk(clk_c),
        .dataa(zz_ins_i_c_3),
        .datab(zz_ins_i_c_0),
        .datac(ext_ctl_0_0_a2_0_1_0),
        .datad(ext_ctl_0_0_a_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ext_ctl_o_2__Z.operation_mode="normal";
defparam ext_ctl_o_2__Z.output_mode="reg_only";
defparam ext_ctl_o_2__Z.lut_mask="10ff";
defparam ext_ctl_o_2__Z.synch_mode="on";
defparam ext_ctl_o_2__Z.sum_lutc_input="datac";
// @18:96
  cyclone_lcell ext_ctl_o_1__Z (
        .regout(ext_ctl_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_29),
        .datab(zz_ins_i_c_28),
        .datac(ext_ctlk_1_1_0_0_a),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ext_ctl_o_1__Z.operation_mode="normal";
defparam ext_ctl_o_1__Z.output_mode="reg_only";
defparam ext_ctl_o_1__Z.lut_mask="0101";
defparam ext_ctl_o_1__Z.synch_mode="on";
defparam ext_ctl_o_1__Z.sum_lutc_input="datac";
// @18:96
  cyclone_lcell ext_ctl_o_0__Z (
        .regout(ext_ctl_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_26),
        .datab(zz_ins_i_c_28),
        .datac(N_323_i_0_0_a),
        .datad(N_323_i_0_0_1_x),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ext_ctl_o_0__Z.operation_mode="normal";
defparam ext_ctl_o_0__Z.output_mode="reg_only";
defparam ext_ctl_o_0__Z.lut_mask="ff10";
defparam ext_ctl_o_0__Z.synch_mode="on";
defparam ext_ctl_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* ext_ctl_reg_clr_cls */

// VQM4.1+ 
module rd_sel_reg_clr_cls (
  zz_ins_i_c_0,
  zz_ins_i_c_1,
  rd_sel_o_0,
  rd_sel_o_1,
  rd_sel_0_1_0_0_0_a2_1,
  N_1031,
  rd_sel_0_1_0_0_0_a2_0_0,
  NET1572_i_i,
  NET1606_i,
  rd_sel_1_1_0_0_0_a,
  clk_c
);
input zz_ins_i_c_0 ;
input zz_ins_i_c_1 ;
output rd_sel_o_0 ;
output rd_sel_o_1 ;
input rd_sel_0_1_0_0_0_a2_1 ;
input N_1031 ;
input rd_sel_0_1_0_0_0_a2_0_0 ;
input NET1572_i_i ;
input NET1606_i ;
input rd_sel_1_1_0_0_0_a ;
input clk_c ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_1 ;
wire rd_sel_o_0 ;
wire rd_sel_o_1 ;
wire rd_sel_0_1_0_0_0_a2_1 ;
wire N_1031 ;
wire rd_sel_0_1_0_0_0_a2_0_0 ;
wire NET1572_i_i ;
wire NET1606_i ;
wire rd_sel_1_1_0_0_0_a ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:97
  cyclone_lcell rd_sel_o_1__Z (
        .regout(rd_sel_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_1),
        .datab(rd_sel_1_1_0_0_0_a),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_o_1__Z.operation_mode="normal";
defparam rd_sel_o_1__Z.output_mode="reg_only";
defparam rd_sel_o_1__Z.lut_mask="4444";
defparam rd_sel_o_1__Z.synch_mode="on";
defparam rd_sel_o_1__Z.sum_lutc_input="datac";
// @18:97
  cyclone_lcell rd_sel_o_0__Z (
        .regout(rd_sel_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(rd_sel_0_1_0_0_0_a2_0_0),
        .datac(N_1031),
        .datad(rd_sel_0_1_0_0_0_a2_1),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rd_sel_o_0__Z.operation_mode="normal";
defparam rd_sel_o_0__Z.output_mode="reg_only";
defparam rd_sel_o_0__Z.lut_mask="fff4";
defparam rd_sel_o_0__Z.synch_mode="on";
defparam rd_sel_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* rd_sel_reg_clr_cls */

// VQM4.1+ 
module alu_we_reg_clr_cls (
  zz_ins_i_c_0,
  alu_we_o_0,
  NET1572_i_i,
  NET1606_i,
  alu_func_4_1_0_0_0_o2_x,
  m17_0_a3_0_a,
  m17_0_a3_0_a2_0,
  clk_c
);
input zz_ins_i_c_0 ;
output alu_we_o_0 ;
input NET1572_i_i ;
input NET1606_i ;
input alu_func_4_1_0_0_0_o2_x ;
input m17_0_a3_0_a ;
input m17_0_a3_0_a2_0 ;
input clk_c ;
wire zz_ins_i_c_0 ;
wire alu_we_o_0 ;
wire NET1572_i_i ;
wire NET1606_i ;
wire alu_func_4_1_0_0_0_o2_x ;
wire m17_0_a3_0_a ;
wire m17_0_a3_0_a2_0 ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:104
  cyclone_lcell alu_we_o_0__Z (
        .regout(alu_we_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(m17_0_a3_0_a2_0),
        .datac(m17_0_a3_0_a),
        .datad(alu_func_4_1_0_0_0_o2_x),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam alu_we_o_0__Z.operation_mode="normal";
defparam alu_we_o_0__Z.output_mode="reg_only";
defparam alu_we_o_0__Z.lut_mask="fdfc";
defparam alu_we_o_0__Z.synch_mode="on";
defparam alu_we_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* alu_we_reg_clr_cls */

// VQM4.1+ 
module muxa_ctl_reg_clr_cls (
  muxa_ctl_0_0_0_a_0,
  pc_gen_ctl_0_0_a2_1_0,
  pc_gen_ctl_0_0_o2_0,
  zz_ins_i_c_0,
  zz_ins_i_c_1,
  zz_ins_i_c_27,
  muxa_ctl_o_0,
  muxa_ctl_o_1,
  muxa_ctl_0_1_0_0_a2_0_0,
  muxa_ctl_0_1_0_0_a,
  NET1572_i_i,
  NET1606_i,
  clk_c
);
input muxa_ctl_0_0_0_a_0 ;
input pc_gen_ctl_0_0_a2_1_0 ;
input pc_gen_ctl_0_0_o2_0 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_1 ;
input zz_ins_i_c_27 ;
output muxa_ctl_o_0 ;
output muxa_ctl_o_1 ;
input muxa_ctl_0_1_0_0_a2_0_0 ;
input muxa_ctl_0_1_0_0_a ;
input NET1572_i_i ;
input NET1606_i ;
input clk_c ;
wire muxa_ctl_0_0_0_a_0 ;
wire pc_gen_ctl_0_0_a2_1_0 ;
wire pc_gen_ctl_0_0_o2_0 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_1 ;
wire zz_ins_i_c_27 ;
wire muxa_ctl_o_0 ;
wire muxa_ctl_o_1 ;
wire muxa_ctl_0_1_0_0_a2_0_0 ;
wire muxa_ctl_0_1_0_0_a ;
wire NET1572_i_i ;
wire NET1606_i ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:101
  cyclone_lcell muxa_ctl_o_1__Z (
        .regout(muxa_ctl_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_27),
        .datab(pc_gen_ctl_0_0_o2_0),
        .datac(pc_gen_ctl_0_0_a2_1_0),
        .datad(muxa_ctl_0_0_0_a_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_o_1__Z.operation_mode="normal";
defparam muxa_ctl_o_1__Z.output_mode="reg_only";
defparam muxa_ctl_o_1__Z.lut_mask="fcfd";
defparam muxa_ctl_o_1__Z.synch_mode="on";
defparam muxa_ctl_o_1__Z.sum_lutc_input="datac";
// @18:101
  cyclone_lcell muxa_ctl_o_0__Z (
        .regout(muxa_ctl_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_1),
        .datab(zz_ins_i_c_0),
        .datac(muxa_ctl_0_1_0_0_a),
        .datad(muxa_ctl_0_1_0_0_a2_0_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam muxa_ctl_o_0__Z.operation_mode="normal";
defparam muxa_ctl_o_0__Z.output_mode="reg_only";
defparam muxa_ctl_o_0__Z.lut_mask="f1f0";
defparam muxa_ctl_o_0__Z.synch_mode="on";
defparam muxa_ctl_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* muxa_ctl_reg_clr_cls */

// VQM4.1+ 
module pc_gen_ctl_reg_clr_cls (
  pc_gen_ctl_0_0_a_x_0,
  zz_ins_i_c_0,
  pc_gen_ctl_0_0_a2_1_0,
  pc_gen_ctl_0_0_a2_2_0,
  pc_gen_ctl_0_0_1_0,
  pc_gen_ctl_o_0,
  pc_gen_ctl_o_1,
  pc_gen_ctl_o_2,
  pc_gen_ctlk_1_1_0_0_a2_x,
  NET1572_i_i,
  NET1606_i,
  clk_c
);
input pc_gen_ctl_0_0_a_x_0 ;
input zz_ins_i_c_0 ;
input pc_gen_ctl_0_0_a2_1_0 ;
input pc_gen_ctl_0_0_a2_2_0 ;
input pc_gen_ctl_0_0_1_0 ;
output pc_gen_ctl_o_0 ;
output pc_gen_ctl_o_1 ;
output pc_gen_ctl_o_2 ;
input pc_gen_ctlk_1_1_0_0_a2_x ;
input NET1572_i_i ;
input NET1606_i ;
input clk_c ;
wire pc_gen_ctl_0_0_a_x_0 ;
wire zz_ins_i_c_0 ;
wire pc_gen_ctl_0_0_a2_1_0 ;
wire pc_gen_ctl_0_0_a2_2_0 ;
wire pc_gen_ctl_0_0_1_0 ;
wire pc_gen_ctl_o_0 ;
wire pc_gen_ctl_o_1 ;
wire pc_gen_ctl_o_2 ;
wire pc_gen_ctlk_1_1_0_0_a2_x ;
wire NET1572_i_i ;
wire NET1606_i ;
wire clk_c ;
wire GND ;
wire VCC ;
wire NET1606_i_i ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:99
  cyclone_lcell pc_gen_ctl_o_2__Z (
        .regout(pc_gen_ctl_o_2),
        .clk(clk_c),
        .dataa(pc_gen_ctl_0_0_1_0),
        .datab(pc_gen_ctl_0_0_a2_2_0),
        .datac(pc_gen_ctl_0_0_a2_1_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_o_2__Z.operation_mode="normal";
defparam pc_gen_ctl_o_2__Z.output_mode="reg_only";
defparam pc_gen_ctl_o_2__Z.lut_mask="fefe";
defparam pc_gen_ctl_o_2__Z.synch_mode="on";
defparam pc_gen_ctl_o_2__Z.sum_lutc_input="datac";
// @18:99
  cyclone_lcell pc_gen_ctl_o_1__Z (
        .regout(pc_gen_ctl_o_1),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(pc_gen_ctl_0_0_a2_2_0),
        .datac(pc_gen_ctlk_1_1_0_0_a2_x),
        .datad(VCC),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_o_1__Z.operation_mode="normal";
defparam pc_gen_ctl_o_1__Z.output_mode="reg_only";
defparam pc_gen_ctl_o_1__Z.lut_mask="f8f8";
defparam pc_gen_ctl_o_1__Z.synch_mode="on";
defparam pc_gen_ctl_o_1__Z.sum_lutc_input="datac";
// @18:99
  cyclone_lcell pc_gen_ctl_o_0__Z (
        .regout(pc_gen_ctl_o_0),
        .clk(clk_c),
        .dataa(zz_ins_i_c_0),
        .datab(pc_gen_ctl_0_0_a2_2_0),
        .datac(pc_gen_ctl_0_0_a2_1_0),
        .datad(pc_gen_ctl_0_0_a_x_0),
        .aclr(GND),
        .sclr(NET1606_i_i),
        .sload(GND),
        .ena(NET1572_i_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam pc_gen_ctl_o_0__Z.operation_mode="normal";
defparam pc_gen_ctl_o_0__Z.output_mode="reg_only";
defparam pc_gen_ctl_o_0__Z.lut_mask="f4ff";
defparam pc_gen_ctl_o_0__Z.synch_mode="on";
defparam pc_gen_ctl_o_0__Z.sum_lutc_input="datac";
  assign  NET1606_i_i = ~ NET1606_i;
endmodule /* pc_gen_ctl_reg_clr_cls */

// VQM4.1+ 
module dmem_ctl_reg (
  dmem_ctl_o_0_0,
  dmem_ctl_o_0_1,
  dmem_ctl_o_0_2,
  dmem_ctl_o_0,
  dmem_ctl_o_1,
  dmem_ctl_o_2,
  dmem_ctl_o_3,
  r32_o_0,
  clk_c,
  wr_cmd_0_a2_1_21_a
);
input dmem_ctl_o_0_0 ;
input dmem_ctl_o_0_1 ;
input dmem_ctl_o_0_2 ;
output dmem_ctl_o_0 ;
output dmem_ctl_o_1 ;
output dmem_ctl_o_2 ;
input dmem_ctl_o_3 ;
input r32_o_0 ;
input clk_c ;
output wr_cmd_0_a2_1_21_a ;
wire dmem_ctl_o_0_0 ;
wire dmem_ctl_o_0_1 ;
wire dmem_ctl_o_0_2 ;
wire dmem_ctl_o_0 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_2 ;
wire dmem_ctl_o_3 ;
wire r32_o_0 ;
wire clk_c ;
wire wr_cmd_0_a2_1_21_a ;
wire VCC ;
wire GND ;
//@1:1
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:151
  cyclone_lcell dmem_ctl_o_3__Z (
        .combout(wr_cmd_0_a2_1_21_a),
        .clk(clk_c),
        .dataa(r32_o_0),
        .datab(VCC),
        .datac(dmem_ctl_o_3),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_3__Z.operation_mode="normal";
defparam dmem_ctl_o_3__Z.output_mode="comb_only";
defparam dmem_ctl_o_3__Z.lut_mask="0505";
defparam dmem_ctl_o_3__Z.synch_mode="on";
defparam dmem_ctl_o_3__Z.sum_lutc_input="qfbk";
// @18:151
  cyclone_lcell dmem_ctl_o_2__Z (
        .regout(dmem_ctl_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(dmem_ctl_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_2__Z.operation_mode="normal";
defparam dmem_ctl_o_2__Z.output_mode="reg_only";
defparam dmem_ctl_o_2__Z.lut_mask="ff00";
defparam dmem_ctl_o_2__Z.synch_mode="off";
defparam dmem_ctl_o_2__Z.sum_lutc_input="datac";
// @18:151
  cyclone_lcell dmem_ctl_o_1__Z (
        .regout(dmem_ctl_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(dmem_ctl_o_0_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_1__Z.operation_mode="normal";
defparam dmem_ctl_o_1__Z.output_mode="reg_only";
defparam dmem_ctl_o_1__Z.lut_mask="ff00";
defparam dmem_ctl_o_1__Z.synch_mode="off";
defparam dmem_ctl_o_1__Z.sum_lutc_input="datac";
// @18:151
  cyclone_lcell dmem_ctl_o_0__Z (
        .regout(dmem_ctl_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(dmem_ctl_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dmem_ctl_o_0__Z.operation_mode="normal";
defparam dmem_ctl_o_0__Z.output_mode="reg_only";
defparam dmem_ctl_o_0__Z.lut_mask="ff00";
defparam dmem_ctl_o_0__Z.synch_mode="off";
defparam dmem_ctl_o_0__Z.sum_lutc_input="datac";
endmodule /* dmem_ctl_reg */

// VQM4.1+ 
module pipelinedregs (
  r32_o_0,
  dmem_ctl_o_1_2,
  dmem_ctl_o_1_1,
  dmem_ctl_o_1_0,
  pc_gen_ctl_o_2,
  pc_gen_ctl_o_1,
  pc_gen_ctl_o_0,
  pc_gen_ctl_0_0_1_0,
  pc_gen_ctl_0_0_a_x_0,
  muxa_ctl_0_0_0_a_0,
  rd_sel_o_1,
  rd_sel_o_0,
  ext_ctl_o_2,
  ext_ctl_o_1,
  ext_ctl_o_0,
  ext_ctl_0_0_a2_0_1_0,
  ext_ctl_0_0_a_0,
  alu_func_0_0_0_o2_0_0,
  muxa_ctl_0_0_0_a2_0_0_0,
  alu_func_0_0_0_3_0,
  cmp_ctl_o_2,
  cmp_ctl_o_1,
  cmp_ctl_o_0,
  pc_gen_ctl_0_0_a2_2_x_0,
  wb_mux_ctl_o_2_0,
  muxa_ctl_o_0_1,
  muxa_ctl_o_0_0,
  alu_func_o_0_4,
  alu_func_o_0_3,
  alu_func_o_0_2,
  alu_func_o_0_1,
  alu_func_o_0_0,
  dmem_ctl_o_0_3,
  dmem_ctl_o_0_2,
  dmem_ctl_o_0_1,
  dmem_ctl_o_0_0,
  muxb_ctl_o_0_1,
  muxb_ctl_o_0_0,
  wb_we_o_2_0,
  wb_we_o_0_0,
  pc_gen_ctl_0_0_a2_3_x_0,
  zz_ins_i_c_2,
  zz_ins_i_c_3,
  zz_ins_i_c_0,
  zz_ins_i_c_1,
  zz_ins_i_c_24,
  zz_ins_i_c_14,
  zz_ins_i_c_29,
  zz_ins_i_c_28,
  zz_ins_i_c_25,
  zz_ins_i_c_27,
  zz_ins_i_c_21,
  zz_ins_i_c_26,
  pc_gen_ctl_0_0_o2_0,
  pc_gen_ctl_0_0_a2_2_0,
  pc_gen_ctl_0_0_a2_1_0,
  wr_cmd_0_a2_1_21_a,
  pc_gen_ctlk_1_1_0_0_a2_x,
  muxa_ctl_0_1_0_0_a,
  muxa_ctl_0_1_0_0_a2_0_0,
  m17_0_a3_0_a2_0,
  m17_0_a3_0_a,
  alu_func_4_1_0_0_0_o2_x,
  rd_sel_1_1_0_0_0_a,
  N_1031,
  rd_sel_0_1_0_0_0_a2_1,
  ext_ctlk_1_1_0_0_a,
  N_323_i_0_0_a,
  N_323_i_0_0_1_x,
  dmem_ctl_2_1_0_0_a,
  dmem_ctl_1_1_0_0_a,
  dmem_ctl_0_1_0_0_a,
  alu_func_4_1_0_0_0_a2_2_0,
  alu_func_4_1_0_0_0_a2_3,
  alu_func_4_1_0_0_0_1,
  alu_func_1_1_0_0_0_a2,
  rd_sel_0_1_0_0_0_a2_0_0,
  alu_func_1_1_0_0_0_2,
  alu_func_0_1_0_0_0_a2,
  alu_func_0_1_0_0_0_3,
  cmp_ctl_2_1_0_0_a,
  cmp_ctl_1_1_0_0_a2_2,
  cmp_ctl_1_1_0_0_a,
  ra2exec_ctl_clr_i_a2_0_a2,
  wb_we_1df0_a,
  cmp_ctl_2_1_0_0_a2_1_x,
  clk_c,
  NET1606_i,
  NET1572_i_i,
  muxb_ctl_0_1_0_0_0_a,
  fsm_dly_1_1_0_0_a_x,
  muxb_ctl_0_1_0_0_0_a2_0
);
input r32_o_0 ;
output dmem_ctl_o_1_2 ;
output dmem_ctl_o_1_1 ;
output dmem_ctl_o_1_0 ;
output pc_gen_ctl_o_2 ;
output pc_gen_ctl_o_1 ;
output pc_gen_ctl_o_0 ;
input pc_gen_ctl_0_0_1_0 ;
input pc_gen_ctl_0_0_a_x_0 ;
input muxa_ctl_0_0_0_a_0 ;
output rd_sel_o_1 ;
output rd_sel_o_0 ;
output ext_ctl_o_2 ;
output ext_ctl_o_1 ;
output ext_ctl_o_0 ;
input ext_ctl_0_0_a2_0_1_0 ;
input ext_ctl_0_0_a_0 ;
input alu_func_0_0_0_o2_0_0 ;
input muxa_ctl_0_0_0_a2_0_0_0 ;
input alu_func_0_0_0_3_0 ;
output cmp_ctl_o_2 ;
output cmp_ctl_o_1 ;
output cmp_ctl_o_0 ;
input pc_gen_ctl_0_0_a2_2_x_0 ;
output wb_mux_ctl_o_2_0 ;
output muxa_ctl_o_0_1 ;
output muxa_ctl_o_0_0 ;
output alu_func_o_0_4 ;
output alu_func_o_0_3 ;
output alu_func_o_0_2 ;
output alu_func_o_0_1 ;
output alu_func_o_0_0 ;
output dmem_ctl_o_0_3 ;
output dmem_ctl_o_0_2 ;
output dmem_ctl_o_0_1 ;
output dmem_ctl_o_0_0 ;
output muxb_ctl_o_0_1 ;
output muxb_ctl_o_0_0 ;
output wb_we_o_2_0 ;
output wb_we_o_0_0 ;
input pc_gen_ctl_0_0_a2_3_x_0 ;
input zz_ins_i_c_2 ;
input zz_ins_i_c_3 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_1 ;
input zz_ins_i_c_24 ;
input zz_ins_i_c_14 ;
input zz_ins_i_c_29 ;
input zz_ins_i_c_28 ;
input zz_ins_i_c_25 ;
input zz_ins_i_c_27 ;
input zz_ins_i_c_21 ;
input zz_ins_i_c_26 ;
input pc_gen_ctl_0_0_o2_0 ;
input pc_gen_ctl_0_0_a2_2_0 ;
input pc_gen_ctl_0_0_a2_1_0 ;
output wr_cmd_0_a2_1_21_a ;
input pc_gen_ctlk_1_1_0_0_a2_x ;
input muxa_ctl_0_1_0_0_a ;
input muxa_ctl_0_1_0_0_a2_0_0 ;
input m17_0_a3_0_a2_0 ;
input m17_0_a3_0_a ;
input alu_func_4_1_0_0_0_o2_x ;
input rd_sel_1_1_0_0_0_a ;
input N_1031 ;
input rd_sel_0_1_0_0_0_a2_1 ;
input ext_ctlk_1_1_0_0_a ;
input N_323_i_0_0_a ;
input N_323_i_0_0_1_x ;
input dmem_ctl_2_1_0_0_a ;
input dmem_ctl_1_1_0_0_a ;
input dmem_ctl_0_1_0_0_a ;
input alu_func_4_1_0_0_0_a2_2_0 ;
input alu_func_4_1_0_0_0_a2_3 ;
input alu_func_4_1_0_0_0_1 ;
input alu_func_1_1_0_0_0_a2 ;
input rd_sel_0_1_0_0_0_a2_0_0 ;
input alu_func_1_1_0_0_0_2 ;
input alu_func_0_1_0_0_0_a2 ;
input alu_func_0_1_0_0_0_3 ;
input cmp_ctl_2_1_0_0_a ;
input cmp_ctl_1_1_0_0_a2_2 ;
input cmp_ctl_1_1_0_0_a ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
input wb_we_1df0_a ;
input cmp_ctl_2_1_0_0_a2_1_x ;
input clk_c ;
input NET1606_i ;
input NET1572_i_i ;
input muxb_ctl_0_1_0_0_0_a ;
input fsm_dly_1_1_0_0_a_x ;
input muxb_ctl_0_1_0_0_0_a2_0 ;
wire r32_o_0 ;
wire dmem_ctl_o_1_2 ;
wire dmem_ctl_o_1_1 ;
wire dmem_ctl_o_1_0 ;
wire pc_gen_ctl_o_2 ;
wire pc_gen_ctl_o_1 ;
wire pc_gen_ctl_o_0 ;
wire pc_gen_ctl_0_0_1_0 ;
wire pc_gen_ctl_0_0_a_x_0 ;
wire muxa_ctl_0_0_0_a_0 ;
wire rd_sel_o_1 ;
wire rd_sel_o_0 ;
wire ext_ctl_o_2 ;
wire ext_ctl_o_1 ;
wire ext_ctl_o_0 ;
wire ext_ctl_0_0_a2_0_1_0 ;
wire ext_ctl_0_0_a_0 ;
wire alu_func_0_0_0_o2_0_0 ;
wire muxa_ctl_0_0_0_a2_0_0_0 ;
wire alu_func_0_0_0_3_0 ;
wire cmp_ctl_o_2 ;
wire cmp_ctl_o_1 ;
wire cmp_ctl_o_0 ;
wire pc_gen_ctl_0_0_a2_2_x_0 ;
wire wb_mux_ctl_o_2_0 ;
wire muxa_ctl_o_0_1 ;
wire muxa_ctl_o_0_0 ;
wire alu_func_o_0_4 ;
wire alu_func_o_0_3 ;
wire alu_func_o_0_2 ;
wire alu_func_o_0_1 ;
wire alu_func_o_0_0 ;
wire dmem_ctl_o_0_3 ;
wire dmem_ctl_o_0_2 ;
wire dmem_ctl_o_0_1 ;
wire dmem_ctl_o_0_0 ;
wire muxb_ctl_o_0_1 ;
wire muxb_ctl_o_0_0 ;
wire wb_we_o_2_0 ;
wire wb_we_o_0_0 ;
wire pc_gen_ctl_0_0_a2_3_x_0 ;
wire zz_ins_i_c_2 ;
wire zz_ins_i_c_3 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_1 ;
wire zz_ins_i_c_24 ;
wire zz_ins_i_c_14 ;
wire zz_ins_i_c_29 ;
wire zz_ins_i_c_28 ;
wire zz_ins_i_c_25 ;
wire zz_ins_i_c_27 ;
wire zz_ins_i_c_21 ;
wire zz_ins_i_c_26 ;
wire pc_gen_ctl_0_0_o2_0 ;
wire pc_gen_ctl_0_0_a2_2_0 ;
wire pc_gen_ctl_0_0_a2_1_0 ;
wire wr_cmd_0_a2_1_21_a ;
wire pc_gen_ctlk_1_1_0_0_a2_x ;
wire muxa_ctl_0_1_0_0_a ;
wire muxa_ctl_0_1_0_0_a2_0_0 ;
wire m17_0_a3_0_a2_0 ;
wire m17_0_a3_0_a ;
wire alu_func_4_1_0_0_0_o2_x ;
wire rd_sel_1_1_0_0_0_a ;
wire N_1031 ;
wire rd_sel_0_1_0_0_0_a2_1 ;
wire ext_ctlk_1_1_0_0_a ;
wire N_323_i_0_0_a ;
wire N_323_i_0_0_1_x ;
wire dmem_ctl_2_1_0_0_a ;
wire dmem_ctl_1_1_0_0_a ;
wire dmem_ctl_0_1_0_0_a ;
wire alu_func_4_1_0_0_0_a2_2_0 ;
wire alu_func_4_1_0_0_0_a2_3 ;
wire alu_func_4_1_0_0_0_1 ;
wire alu_func_1_1_0_0_0_a2 ;
wire rd_sel_0_1_0_0_0_a2_0_0 ;
wire alu_func_1_1_0_0_0_2 ;
wire alu_func_0_1_0_0_0_a2 ;
wire alu_func_0_1_0_0_0_3 ;
wire cmp_ctl_2_1_0_0_a ;
wire cmp_ctl_1_1_0_0_a2_2 ;
wire cmp_ctl_1_1_0_0_a ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire wb_we_1df0_a ;
wire cmp_ctl_2_1_0_0_a2_1_x ;
wire clk_c ;
wire NET1606_i ;
wire NET1572_i_i ;
wire muxb_ctl_0_1_0_0_0_a ;
wire fsm_dly_1_1_0_0_a_x ;
wire muxb_ctl_0_1_0_0_0_a2_0 ;
wire [1:0] muxb_ctl_o;
wire [0:0] wb_mux_ctl_o;
wire [0:0] wb_we_o;
wire [0:0] wb_we_o_1;
wire [0:0] wb_mux_ctl_o_0;
wire [3:0] dmem_ctl_o;
wire [4:0] alu_func_o;
wire [1:0] muxa_ctl_o;
wire [0:0] wb_mux_ctl_o_1;
wire [0:0] wb_we_o_3;
wire [0:0] alu_we_o;
wire [0:0] alu_we_o_0;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @10:1236
  muxb_ctl_reg_clr_cls U1 (
        .pc_gen_ctl_0_0_a2_1_0(pc_gen_ctl_0_0_a2_1_0),
        .pc_gen_ctl_0_0_a2_2_0(pc_gen_ctl_0_0_a2_2_0),
        .pc_gen_ctl_0_0_o2_0(pc_gen_ctl_0_0_o2_0),
        .zz_ins_i_c_5(zz_ins_i_c_26),
        .zz_ins_i_c_0(zz_ins_i_c_21),
        .muxb_ctl_o_0(muxb_ctl_o[0]),
        .muxb_ctl_o_1(muxb_ctl_o[1]),
        .muxb_ctl_0_1_0_0_0_a2_0(muxb_ctl_0_1_0_0_0_a2_0),
        .fsm_dly_1_1_0_0_a_x(fsm_dly_1_1_0_0_a_x),
        .muxb_ctl_0_1_0_0_0_a(muxb_ctl_0_1_0_0_0_a),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .clk_c(clk_c)
);
// @10:1247
  wb_mux_ctl_reg_clr_cls U10 (
        .pc_gen_ctl_0_0_a2_3_x_0(pc_gen_ctl_0_0_a2_3_x_0),
        .zz_ins_i_c_2(zz_ins_i_c_27),
        .zz_ins_i_c_0(zz_ins_i_c_25),
        .wb_mux_ctl_o_0(wb_mux_ctl_o[0]),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .cmp_ctl_2_1_0_0_a2_1_x(cmp_ctl_2_1_0_0_a2_1_x),
        .clk_c(clk_c)
);
// @10:1258
  wb_we_reg_clr_cls U11 (
        .zz_ins_i_c_0(zz_ins_i_c_27),
        .zz_ins_i_c_1(zz_ins_i_c_28),
        .zz_ins_i_c_2(zz_ins_i_c_29),
        .wb_we_o_0(wb_we_o[0]),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .wb_we_1df0_a(wb_we_1df0_a),
        .clk_c(clk_c)
);
// @10:1269
  wb_we_reg U12 (
        .wb_we_o_1_0(wb_we_o_0_0),
        .wb_we_o_0_0(wb_we_o_1[0]),
        .wb_we_o_0(wb_we_o_2_0),
        .clk_c(clk_c)
);
// @10:1278
  wb_mux_ctl_reg_clr U13 (
        .wb_mux_ctl_o_0_0(wb_mux_ctl_o[0]),
        .wb_mux_ctl_o_0(wb_mux_ctl_o_0[0]),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .clk_c(clk_c)
);
// @10:1288
  muxb_ctl_reg_clr U14 (
        .muxb_ctl_o_0_0(muxb_ctl_o[0]),
        .muxb_ctl_o_0_1(muxb_ctl_o[1]),
        .muxb_ctl_o_0(muxb_ctl_o_0_0),
        .muxb_ctl_o_1(muxb_ctl_o_0_1),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .clk_c(clk_c)
);
// @10:1298
  dmem_ctl_reg_clr U15 (
        .dmem_ctl_o_0_0(dmem_ctl_o[0]),
        .dmem_ctl_o_0_1(dmem_ctl_o[1]),
        .dmem_ctl_o_0_2(dmem_ctl_o[2]),
        .dmem_ctl_o_0_3(dmem_ctl_o[3]),
        .dmem_ctl_o_0(dmem_ctl_o_0_0),
        .dmem_ctl_o_1(dmem_ctl_o_0_1),
        .dmem_ctl_o_2(dmem_ctl_o_0_2),
        .dmem_ctl_o_3(dmem_ctl_o_0_3),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .clk_c(clk_c)
);
// @10:1308
  alu_func_reg_clr U16 (
        .alu_func_o_0_0(alu_func_o[0]),
        .alu_func_o_0_1(alu_func_o[1]),
        .alu_func_o_0_2(alu_func_o[2]),
        .alu_func_o_0_3(alu_func_o[3]),
        .alu_func_o_0_4(alu_func_o[4]),
        .alu_func_o_0(alu_func_o_0_0),
        .alu_func_o_1(alu_func_o_0_1),
        .alu_func_o_2(alu_func_o_0_2),
        .alu_func_o_3(alu_func_o_0_3),
        .alu_func_o_4(alu_func_o_0_4),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .clk_c(clk_c)
);
// @10:1318
  muxa_ctl_reg_clr U17 (
        .muxa_ctl_o_0_0(muxa_ctl_o[0]),
        .muxa_ctl_o_0_1(muxa_ctl_o[1]),
        .muxa_ctl_o_0(muxa_ctl_o_0_0),
        .muxa_ctl_o_1(muxa_ctl_o_0_1),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .clk_c(clk_c)
);
// @10:1328
  wb_mux_ctl_reg U18 (
        .wb_mux_ctl_o_0_0(wb_mux_ctl_o_1[0]),
        .wb_mux_ctl_o_0(wb_mux_ctl_o_2_0),
        .clk_c(clk_c)
);
// @10:1337
  wb_we_reg_clr U19 (
        .wb_we_o_0_0(wb_we_o[0]),
        .wb_we_o_0(wb_we_o_3[0]),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .clk_c(clk_c)
);
// @10:1347
  cmp_ctl_reg_clr_cls U2 (
        .pc_gen_ctl_0_0_a2_2_x_0(pc_gen_ctl_0_0_a2_2_x_0),
        .zz_ins_i_c_11(zz_ins_i_c_25),
        .zz_ins_i_c_12(zz_ins_i_c_26),
        .zz_ins_i_c_0(zz_ins_i_c_14),
        .zz_ins_i_c_13(zz_ins_i_c_27),
        .zz_ins_i_c_10(zz_ins_i_c_24),
        .cmp_ctl_o_0(cmp_ctl_o_0),
        .cmp_ctl_o_1(cmp_ctl_o_1),
        .cmp_ctl_o_2(cmp_ctl_o_2),
        .cmp_ctl_1_1_0_0_a(cmp_ctl_1_1_0_0_a),
        .fsm_dly_1_1_0_0_a_x(fsm_dly_1_1_0_0_a_x),
        .cmp_ctl_1_1_0_0_a2_2(cmp_ctl_1_1_0_0_a2_2),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .cmp_ctl_2_1_0_0_a(cmp_ctl_2_1_0_0_a),
        .clk_c(clk_c)
);
// @10:1358
  wb_we_reg_1 U20 (
        .wb_we_o_0_0(wb_we_o_3[0]),
        .wb_we_o_0(wb_we_o_1[0]),
        .clk_c(clk_c)
);
// @10:1367
  wb_mux_ctl_reg_1 U21 (
        .wb_mux_ctl_o_0_0(wb_mux_ctl_o_0[0]),
        .wb_mux_ctl_o_0(wb_mux_ctl_o_1[0]),
        .clk_c(clk_c)
);
// @10:1376
  wb_we_reg_2 U22 (
        .alu_we_o_0(alu_we_o[0]),
        .wb_we_o_0(wb_we_o_0_0),
        .clk_c(clk_c)
);
// @10:1388
  alu_we_reg_clr U24 (
        .alu_we_o_0_0(alu_we_o_0[0]),
        .alu_we_o_0(alu_we_o[0]),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .clk_c(clk_c)
);
// @10:1398
  alu_func_reg_clr_cls U26 (
        .alu_func_0_0_0_3_0(alu_func_0_0_0_3_0),
        .pc_gen_ctl_0_0_a2_1_0(pc_gen_ctl_0_0_a2_1_0),
        .muxa_ctl_0_0_0_a2_0_0_0(muxa_ctl_0_0_0_a2_0_0_0),
        .alu_func_0_0_0_o2_0_0(alu_func_0_0_0_o2_0_0),
        .zz_ins_i_c_26(zz_ins_i_c_27),
        .zz_ins_i_c_27(zz_ins_i_c_28),
        .zz_ins_i_c_0(zz_ins_i_c_1),
        .alu_func_o_0(alu_func_o[0]),
        .alu_func_o_1(alu_func_o[1]),
        .alu_func_o_2(alu_func_o[2]),
        .alu_func_o_3(alu_func_o[3]),
        .alu_func_o_4(alu_func_o[4]),
        .alu_func_0_1_0_0_0_3(alu_func_0_1_0_0_0_3),
        .alu_func_0_1_0_0_0_a2(alu_func_0_1_0_0_0_a2),
        .alu_func_1_1_0_0_0_2(alu_func_1_1_0_0_0_2),
        .rd_sel_0_1_0_0_0_a2_0_0(rd_sel_0_1_0_0_0_a2_0_0),
        .alu_func_1_1_0_0_0_a2(alu_func_1_1_0_0_0_a2),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .alu_func_4_1_0_0_0_1(alu_func_4_1_0_0_0_1),
        .alu_func_4_1_0_0_0_a2_3(alu_func_4_1_0_0_0_a2_3),
        .alu_func_4_1_0_0_0_a2_2_0(alu_func_4_1_0_0_0_a2_2_0),
        .clk_c(clk_c)
);
// @10:1409
  dmem_ctl_reg_clr_cls U3 (
        .pc_gen_ctl_0_0_a2_2_0(pc_gen_ctl_0_0_a2_2_0),
        .pc_gen_ctl_0_0_a2_3_x_0(pc_gen_ctl_0_0_a2_3_x_0),
        .zz_ins_i_c_0(zz_ins_i_c_21),
        .zz_ins_i_c_8(zz_ins_i_c_29),
        .zz_ins_i_c_7(zz_ins_i_c_28),
        .zz_ins_i_c_3(zz_ins_i_c_24),
        .zz_ins_i_c_6(zz_ins_i_c_27),
        .zz_ins_i_c_4(zz_ins_i_c_25),
        .dmem_ctl_o_0(dmem_ctl_o[0]),
        .dmem_ctl_o_1(dmem_ctl_o[1]),
        .dmem_ctl_o_2(dmem_ctl_o[2]),
        .dmem_ctl_o_3(dmem_ctl_o[3]),
        .dmem_ctl_0_1_0_0_a(dmem_ctl_0_1_0_0_a),
        .dmem_ctl_1_1_0_0_a(dmem_ctl_1_1_0_0_a),
        .dmem_ctl_2_1_0_0_a(dmem_ctl_2_1_0_0_a),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .clk_c(clk_c)
);
// @10:1420
  ext_ctl_reg_clr_cls U4 (
        .ext_ctl_0_0_a_0(ext_ctl_0_0_a_0),
        .ext_ctl_0_0_a2_0_1_0(ext_ctl_0_0_a2_0_1_0),
        .zz_ins_i_c_26(zz_ins_i_c_26),
        .zz_ins_i_c_28(zz_ins_i_c_28),
        .zz_ins_i_c_29(zz_ins_i_c_29),
        .zz_ins_i_c_0(zz_ins_i_c_0),
        .zz_ins_i_c_3(zz_ins_i_c_3),
        .ext_ctl_o_0(ext_ctl_o_0),
        .ext_ctl_o_1(ext_ctl_o_1),
        .ext_ctl_o_2(ext_ctl_o_2),
        .N_323_i_0_0_1_x(N_323_i_0_0_1_x),
        .N_323_i_0_0_a(N_323_i_0_0_a),
        .ext_ctlk_1_1_0_0_a(ext_ctlk_1_1_0_0_a),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .clk_c(clk_c)
);
// @10:1431
  rd_sel_reg_clr_cls U5 (
        .zz_ins_i_c_0(zz_ins_i_c_27),
        .zz_ins_i_c_1(zz_ins_i_c_28),
        .rd_sel_o_0(rd_sel_o_0),
        .rd_sel_o_1(rd_sel_o_1),
        .rd_sel_0_1_0_0_0_a2_1(rd_sel_0_1_0_0_0_a2_1),
        .N_1031(N_1031),
        .rd_sel_0_1_0_0_0_a2_0_0(rd_sel_0_1_0_0_0_a2_0_0),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .rd_sel_1_1_0_0_0_a(rd_sel_1_1_0_0_0_a),
        .clk_c(clk_c)
);
// @10:1442
  alu_we_reg_clr_cls U6 (
        .zz_ins_i_c_0(zz_ins_i_c_26),
        .alu_we_o_0(alu_we_o_0[0]),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .alu_func_4_1_0_0_0_o2_x(alu_func_4_1_0_0_0_o2_x),
        .m17_0_a3_0_a(m17_0_a3_0_a),
        .m17_0_a3_0_a2_0(m17_0_a3_0_a2_0),
        .clk_c(clk_c)
);
// @10:1453
  muxa_ctl_reg_clr_cls U7 (
        .muxa_ctl_0_0_0_a_0(muxa_ctl_0_0_0_a_0),
        .pc_gen_ctl_0_0_a2_1_0(pc_gen_ctl_0_0_a2_1_0),
        .pc_gen_ctl_0_0_o2_0(pc_gen_ctl_0_0_o2_0),
        .zz_ins_i_c_0(zz_ins_i_c_1),
        .zz_ins_i_c_1(zz_ins_i_c_2),
        .zz_ins_i_c_27(zz_ins_i_c_28),
        .muxa_ctl_o_0(muxa_ctl_o[0]),
        .muxa_ctl_o_1(muxa_ctl_o[1]),
        .muxa_ctl_0_1_0_0_a2_0_0(muxa_ctl_0_1_0_0_a2_0_0),
        .muxa_ctl_0_1_0_0_a(muxa_ctl_0_1_0_0_a),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .clk_c(clk_c)
);
// @10:1464
  pc_gen_ctl_reg_clr_cls U8 (
        .pc_gen_ctl_0_0_a_x_0(pc_gen_ctl_0_0_a_x_0),
        .zz_ins_i_c_0(zz_ins_i_c_21),
        .pc_gen_ctl_0_0_a2_1_0(pc_gen_ctl_0_0_a2_1_0),
        .pc_gen_ctl_0_0_a2_2_0(pc_gen_ctl_0_0_a2_2_0),
        .pc_gen_ctl_0_0_1_0(pc_gen_ctl_0_0_1_0),
        .pc_gen_ctl_o_0(pc_gen_ctl_o_0),
        .pc_gen_ctl_o_1(pc_gen_ctl_o_1),
        .pc_gen_ctl_o_2(pc_gen_ctl_o_2),
        .pc_gen_ctlk_1_1_0_0_a2_x(pc_gen_ctlk_1_1_0_0_a2_x),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .clk_c(clk_c)
);
// @10:1475
  dmem_ctl_reg U9 (
        .dmem_ctl_o_0_0(dmem_ctl_o_0_0),
        .dmem_ctl_o_0_1(dmem_ctl_o_0_1),
        .dmem_ctl_o_0_2(dmem_ctl_o_0_2),
        .dmem_ctl_o_0(dmem_ctl_o_1_0),
        .dmem_ctl_o_1(dmem_ctl_o_1_1),
        .dmem_ctl_o_2(dmem_ctl_o_1_2),
        .dmem_ctl_o_3(dmem_ctl_o_0_3),
        .r32_o_0(r32_o_0),
        .clk_c(clk_c),
        .wr_cmd_0_a2_1_21_a(wr_cmd_0_a2_1_21_a)
);
endmodule /* pipelinedregs */

// VQM4.1+ 
module decode_pipe (
  wb_we_o_0_0,
  wb_we_o_0,
  muxb_ctl_o_0,
  muxb_ctl_o_1,
  dmem_ctl_o_0_0,
  dmem_ctl_o_0_1,
  dmem_ctl_o_0_2,
  alu_func_o_0,
  alu_func_o_1,
  alu_func_o_2,
  alu_func_o_3,
  alu_func_o_4,
  muxa_ctl_o_0,
  muxa_ctl_o_1,
  wb_mux_ctl_o_0,
  cmp_ctl_o_0,
  cmp_ctl_o_1,
  cmp_ctl_o_2,
  ext_ctl_o_0,
  ext_ctl_o_1,
  ext_ctl_o_2,
  rd_sel_o_0,
  rd_sel_o_1,
  pc_gen_ctl_o_0,
  pc_gen_ctl_o_1,
  pc_gen_ctl_o_2,
  dmem_ctl_o_3,
  dmem_ctl_o_0,
  dmem_ctl_o_1,
  dmem_ctl_o_2,
  r32_o_0,
  zz_ins_i_c_16,
  zz_ins_i_c_28,
  zz_ins_i_c_26,
  zz_ins_i_c_27,
  zz_ins_i_c_30,
  zz_ins_i_c_31,
  zz_ins_i_c_17,
  zz_ins_i_c_20,
  zz_ins_i_c_19,
  zz_ins_i_c_2,
  zz_ins_i_c_5,
  zz_ins_i_c_3,
  zz_ins_i_c_1,
  zz_ins_i_c_0,
  zz_ins_i_c_24,
  zz_ins_i_c_25,
  zz_ins_i_c_29,
  zz_ins_i_c_23,
  zz_ins_i_c_4,
  zz_ins_i_c_18,
  zz_ins_i_c_21,
  zz_ins_i_c_22,
  pc_gen_ctl_0_0_a2_2_0,
  NET1572_i_i,
  NET1606_i,
  clk_c,
  ra2exec_ctl_clr_i_a2_0_a2,
  wr_cmd_0_a2_1_21_a,
  fsm_dly_2_1_0_0,
  fsm_dly_0_1_0_0,
  fsm_dly_1_1_0_0,
  fsm_dly_1_1_0_0_a2_0
);
output wb_we_o_0_0 ;
output wb_we_o_0 ;
output muxb_ctl_o_0 ;
output muxb_ctl_o_1 ;
output dmem_ctl_o_0_0 ;
output dmem_ctl_o_0_1 ;
output dmem_ctl_o_0_2 ;
output alu_func_o_0 ;
output alu_func_o_1 ;
output alu_func_o_2 ;
output alu_func_o_3 ;
output alu_func_o_4 ;
output muxa_ctl_o_0 ;
output muxa_ctl_o_1 ;
output wb_mux_ctl_o_0 ;
output cmp_ctl_o_0 ;
output cmp_ctl_o_1 ;
output cmp_ctl_o_2 ;
output ext_ctl_o_0 ;
output ext_ctl_o_1 ;
output ext_ctl_o_2 ;
output rd_sel_o_0 ;
output rd_sel_o_1 ;
output pc_gen_ctl_o_0 ;
output pc_gen_ctl_o_1 ;
output pc_gen_ctl_o_2 ;
output dmem_ctl_o_3 ;
output dmem_ctl_o_0 ;
output dmem_ctl_o_1 ;
output dmem_ctl_o_2 ;
input r32_o_0 ;
input zz_ins_i_c_16 ;
input zz_ins_i_c_28 ;
input zz_ins_i_c_26 ;
input zz_ins_i_c_27 ;
input zz_ins_i_c_30 ;
input zz_ins_i_c_31 ;
input zz_ins_i_c_17 ;
input zz_ins_i_c_20 ;
input zz_ins_i_c_19 ;
input zz_ins_i_c_2 ;
input zz_ins_i_c_5 ;
input zz_ins_i_c_3 ;
input zz_ins_i_c_1 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_24 ;
input zz_ins_i_c_25 ;
input zz_ins_i_c_29 ;
input zz_ins_i_c_23 ;
input zz_ins_i_c_4 ;
input zz_ins_i_c_18 ;
input zz_ins_i_c_21 ;
input zz_ins_i_c_22 ;
output pc_gen_ctl_0_0_a2_2_0 ;
input NET1572_i_i ;
input NET1606_i ;
input clk_c ;
input ra2exec_ctl_clr_i_a2_0_a2 ;
output wr_cmd_0_a2_1_21_a ;
output fsm_dly_2_1_0_0 ;
output fsm_dly_0_1_0_0 ;
output fsm_dly_1_1_0_0 ;
output fsm_dly_1_1_0_0_a2_0 ;
wire wb_we_o_0_0 ;
wire wb_we_o_0 ;
wire muxb_ctl_o_0 ;
wire muxb_ctl_o_1 ;
wire dmem_ctl_o_0_0 ;
wire dmem_ctl_o_0_1 ;
wire dmem_ctl_o_0_2 ;
wire alu_func_o_0 ;
wire alu_func_o_1 ;
wire alu_func_o_2 ;
wire alu_func_o_3 ;
wire alu_func_o_4 ;
wire muxa_ctl_o_0 ;
wire muxa_ctl_o_1 ;
wire wb_mux_ctl_o_0 ;
wire cmp_ctl_o_0 ;
wire cmp_ctl_o_1 ;
wire cmp_ctl_o_2 ;
wire ext_ctl_o_0 ;
wire ext_ctl_o_1 ;
wire ext_ctl_o_2 ;
wire rd_sel_o_0 ;
wire rd_sel_o_1 ;
wire pc_gen_ctl_o_0 ;
wire pc_gen_ctl_o_1 ;
wire pc_gen_ctl_o_2 ;
wire dmem_ctl_o_3 ;
wire dmem_ctl_o_0 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_2 ;
wire r32_o_0 ;
wire zz_ins_i_c_16 ;
wire zz_ins_i_c_28 ;
wire zz_ins_i_c_26 ;
wire zz_ins_i_c_27 ;
wire zz_ins_i_c_30 ;
wire zz_ins_i_c_31 ;
wire zz_ins_i_c_17 ;
wire zz_ins_i_c_20 ;
wire zz_ins_i_c_19 ;
wire zz_ins_i_c_2 ;
wire zz_ins_i_c_5 ;
wire zz_ins_i_c_3 ;
wire zz_ins_i_c_1 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_24 ;
wire zz_ins_i_c_25 ;
wire zz_ins_i_c_29 ;
wire zz_ins_i_c_23 ;
wire zz_ins_i_c_4 ;
wire zz_ins_i_c_18 ;
wire zz_ins_i_c_21 ;
wire zz_ins_i_c_22 ;
wire pc_gen_ctl_0_0_a2_2_0 ;
wire NET1572_i_i ;
wire NET1606_i ;
wire clk_c ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire wr_cmd_0_a2_1_21_a ;
wire fsm_dly_2_1_0_0 ;
wire fsm_dly_0_1_0_0 ;
wire fsm_dly_1_1_0_0 ;
wire fsm_dly_1_1_0_0_a2_0 ;
wire [2:2] ext_ctl_0_0_a2_0_1;
wire [2:2] alu_func_0_0_0_o2_0;
wire [2:2] ext_ctl_0_0_a;
wire [2:2] pc_gen_ctl_0_0_1;
wire [1:1] muxa_ctl_0_0_0_a2_0_0;
wire [1:1] muxa_ctl_0_0_0_a;
wire [2:2] alu_func_0_0_0_3;
wire [0:0] pc_gen_ctl_0_0_o2;
wire [0:0] pc_gen_ctl_0_0_a_x;
wire [2:2] pc_gen_ctl_0_0_a2_1;
wire [0:0] pc_gen_ctl_0_0_a2_2_x;
wire [2:2] pc_gen_ctl_0_0_a2_3_x;
wire alu_func_1_1_0_0_0_a2 ;
wire dmem_ctl_2_1_0_0_a ;
wire m17_0_a3_0_a2_0 ;
wire wb_we_1df0_a ;
wire cmp_ctl_2_1_0_0_a ;
wire ext_ctlk_1_1_0_0_a ;
wire muxa_ctl_0_1_0_0_a2_0_0 ;
wire cmp_ctl_1_1_0_0_a ;
wire N_1031 ;
wire muxb_ctl_0_1_0_0_0_a2_0 ;
wire rd_sel_1_1_0_0_0_a ;
wire alu_func_4_1_0_0_0_a2_2_0 ;
wire muxa_ctl_0_1_0_0_a ;
wire alu_func_0_1_0_0_0_a2 ;
wire dmem_ctl_0_1_0_0_a ;
wire N_323_i_0_0_a ;
wire dmem_ctl_1_1_0_0_a ;
wire muxb_ctl_0_1_0_0_0_a ;
wire rd_sel_0_1_0_0_0_a2_0_0 ;
wire cmp_ctl_1_1_0_0_a2_2 ;
wire alu_func_0_1_0_0_0_3 ;
wire m17_0_a3_0_a ;
wire rd_sel_0_1_0_0_0_a2_1 ;
wire alu_func_4_1_0_0_0_a2_3 ;
wire alu_func_1_1_0_0_0_2 ;
wire alu_func_4_1_0_0_0_1 ;
wire alu_func_4_1_0_0_0_o2_x ;
wire pc_gen_ctlk_1_1_0_0_a2_x ;
wire N_323_i_0_0_1_x ;
wire fsm_dly_1_1_0_0_a_x ;
wire cmp_ctl_2_1_0_0_a2_1_x ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @10:1546
  decoder idecoder (
        .ext_ctl_0_0_a2_0_1_0(ext_ctl_0_0_a2_0_1[2]),
        .alu_func_0_0_0_o2_0_0(alu_func_0_0_0_o2_0[2]),
        .ext_ctl_0_0_a_0(ext_ctl_0_0_a[2]),
        .pc_gen_ctl_0_0_1_0(pc_gen_ctl_0_0_1[2]),
        .muxa_ctl_0_0_0_a2_0_0_0(muxa_ctl_0_0_0_a2_0_0[1]),
        .muxa_ctl_0_0_0_a_0(muxa_ctl_0_0_0_a[1]),
        .alu_func_0_0_0_3_0(alu_func_0_0_0_3[2]),
        .pc_gen_ctl_0_0_o2_0(pc_gen_ctl_0_0_o2[0]),
        .pc_gen_ctl_0_0_a_x_0(pc_gen_ctl_0_0_a_x[0]),
        .pc_gen_ctl_0_0_a2_2_0(pc_gen_ctl_0_0_a2_2_0),
        .pc_gen_ctl_0_0_a2_1_0(pc_gen_ctl_0_0_a2_1[2]),
        .pc_gen_ctl_0_0_a2_2_x_0(pc_gen_ctl_0_0_a2_2_x[0]),
        .pc_gen_ctl_0_0_a2_3_x_0(pc_gen_ctl_0_0_a2_3_x[2]),
        .zz_ins_i_c_22(zz_ins_i_c_22),
        .zz_ins_i_c_21(zz_ins_i_c_21),
        .zz_ins_i_c_18(zz_ins_i_c_18),
        .zz_ins_i_c_4(zz_ins_i_c_4),
        .zz_ins_i_c_23(zz_ins_i_c_23),
        .zz_ins_i_c_29(zz_ins_i_c_29),
        .zz_ins_i_c_25(zz_ins_i_c_25),
        .zz_ins_i_c_24(zz_ins_i_c_24),
        .zz_ins_i_c_0(zz_ins_i_c_0),
        .zz_ins_i_c_1(zz_ins_i_c_1),
        .zz_ins_i_c_3(zz_ins_i_c_3),
        .zz_ins_i_c_5(zz_ins_i_c_5),
        .zz_ins_i_c_2(zz_ins_i_c_2),
        .zz_ins_i_c_19(zz_ins_i_c_19),
        .zz_ins_i_c_20(zz_ins_i_c_20),
        .zz_ins_i_c_17(zz_ins_i_c_17),
        .zz_ins_i_c_31(zz_ins_i_c_31),
        .zz_ins_i_c_30(zz_ins_i_c_30),
        .zz_ins_i_c_27(zz_ins_i_c_27),
        .zz_ins_i_c_26(zz_ins_i_c_26),
        .zz_ins_i_c_28(zz_ins_i_c_28),
        .alu_func_1_1_0_0_0_a2(alu_func_1_1_0_0_0_a2),
        .dmem_ctl_2_1_0_0_a(dmem_ctl_2_1_0_0_a),
        .fsm_dly_1_1_0_0_a2_0(fsm_dly_1_1_0_0_a2_0),
        .fsm_dly_1_1_0_0(fsm_dly_1_1_0_0),
        .m17_0_a3_0_a2_0(m17_0_a3_0_a2_0),
        .wb_we_1df0_a(wb_we_1df0_a),
        .cmp_ctl_2_1_0_0_a(cmp_ctl_2_1_0_0_a),
        .ext_ctlk_1_1_0_0_a(ext_ctlk_1_1_0_0_a),
        .muxa_ctl_0_1_0_0_a2_0_0(muxa_ctl_0_1_0_0_a2_0_0),
        .fsm_dly_0_1_0_0(fsm_dly_0_1_0_0),
        .cmp_ctl_1_1_0_0_a(cmp_ctl_1_1_0_0_a),
        .N_1031(N_1031),
        .muxb_ctl_0_1_0_0_0_a2_0(muxb_ctl_0_1_0_0_0_a2_0),
        .rd_sel_1_1_0_0_0_a(rd_sel_1_1_0_0_0_a),
        .alu_func_4_1_0_0_0_a2_2_0(alu_func_4_1_0_0_0_a2_2_0),
        .muxa_ctl_0_1_0_0_a(muxa_ctl_0_1_0_0_a),
        .alu_func_0_1_0_0_0_a2(alu_func_0_1_0_0_0_a2),
        .fsm_dly_2_1_0_0(fsm_dly_2_1_0_0),
        .dmem_ctl_0_1_0_0_a(dmem_ctl_0_1_0_0_a),
        .N_323_i_0_0_a(N_323_i_0_0_a),
        .dmem_ctl_1_1_0_0_a(dmem_ctl_1_1_0_0_a),
        .muxb_ctl_0_1_0_0_0_a(muxb_ctl_0_1_0_0_0_a),
        .rd_sel_0_1_0_0_0_a2_0_0(rd_sel_0_1_0_0_0_a2_0_0),
        .cmp_ctl_1_1_0_0_a2_2(cmp_ctl_1_1_0_0_a2_2),
        .alu_func_0_1_0_0_0_3(alu_func_0_1_0_0_0_3),
        .m17_0_a3_0_a(m17_0_a3_0_a),
        .rd_sel_0_1_0_0_0_a2_1(rd_sel_0_1_0_0_0_a2_1),
        .alu_func_4_1_0_0_0_a2_3(alu_func_4_1_0_0_0_a2_3),
        .alu_func_1_1_0_0_0_2(alu_func_1_1_0_0_0_2),
        .alu_func_4_1_0_0_0_1(alu_func_4_1_0_0_0_1),
        .alu_func_4_1_0_0_0_o2_x(alu_func_4_1_0_0_0_o2_x),
        .pc_gen_ctlk_1_1_0_0_a2_x(pc_gen_ctlk_1_1_0_0_a2_x),
        .N_323_i_0_0_1_x(N_323_i_0_0_1_x),
        .fsm_dly_1_1_0_0_a_x(fsm_dly_1_1_0_0_a_x),
        .cmp_ctl_2_1_0_0_a2_1_x(cmp_ctl_2_1_0_0_a2_1_x)
);
// @10:1565
  pipelinedregs pipereg (
        .r32_o_0(r32_o_0),
        .dmem_ctl_o_1_2(dmem_ctl_o_2),
        .dmem_ctl_o_1_1(dmem_ctl_o_1),
        .dmem_ctl_o_1_0(dmem_ctl_o_0),
        .pc_gen_ctl_o_2(pc_gen_ctl_o_2),
        .pc_gen_ctl_o_1(pc_gen_ctl_o_1),
        .pc_gen_ctl_o_0(pc_gen_ctl_o_0),
        .pc_gen_ctl_0_0_1_0(pc_gen_ctl_0_0_1[2]),
        .pc_gen_ctl_0_0_a_x_0(pc_gen_ctl_0_0_a_x[0]),
        .muxa_ctl_0_0_0_a_0(muxa_ctl_0_0_0_a[1]),
        .rd_sel_o_1(rd_sel_o_1),
        .rd_sel_o_0(rd_sel_o_0),
        .ext_ctl_o_2(ext_ctl_o_2),
        .ext_ctl_o_1(ext_ctl_o_1),
        .ext_ctl_o_0(ext_ctl_o_0),
        .ext_ctl_0_0_a2_0_1_0(ext_ctl_0_0_a2_0_1[2]),
        .ext_ctl_0_0_a_0(ext_ctl_0_0_a[2]),
        .alu_func_0_0_0_o2_0_0(alu_func_0_0_0_o2_0[2]),
        .muxa_ctl_0_0_0_a2_0_0_0(muxa_ctl_0_0_0_a2_0_0[1]),
        .alu_func_0_0_0_3_0(alu_func_0_0_0_3[2]),
        .cmp_ctl_o_2(cmp_ctl_o_2),
        .cmp_ctl_o_1(cmp_ctl_o_1),
        .cmp_ctl_o_0(cmp_ctl_o_0),
        .pc_gen_ctl_0_0_a2_2_x_0(pc_gen_ctl_0_0_a2_2_x[0]),
        .wb_mux_ctl_o_2_0(wb_mux_ctl_o_0),
        .muxa_ctl_o_0_1(muxa_ctl_o_1),
        .muxa_ctl_o_0_0(muxa_ctl_o_0),
        .alu_func_o_0_4(alu_func_o_4),
        .alu_func_o_0_3(alu_func_o_3),
        .alu_func_o_0_2(alu_func_o_2),
        .alu_func_o_0_1(alu_func_o_1),
        .alu_func_o_0_0(alu_func_o_0),
        .dmem_ctl_o_0_3(dmem_ctl_o_3),
        .dmem_ctl_o_0_2(dmem_ctl_o_0_2),
        .dmem_ctl_o_0_1(dmem_ctl_o_0_1),
        .dmem_ctl_o_0_0(dmem_ctl_o_0_0),
        .muxb_ctl_o_0_1(muxb_ctl_o_1),
        .muxb_ctl_o_0_0(muxb_ctl_o_0),
        .wb_we_o_2_0(wb_we_o_0),
        .wb_we_o_0_0(wb_we_o_0_0),
        .pc_gen_ctl_0_0_a2_3_x_0(pc_gen_ctl_0_0_a2_3_x[2]),
        .zz_ins_i_c_2(zz_ins_i_c_4),
        .zz_ins_i_c_3(zz_ins_i_c_5),
        .zz_ins_i_c_0(zz_ins_i_c_2),
        .zz_ins_i_c_1(zz_ins_i_c_3),
        .zz_ins_i_c_24(zz_ins_i_c_26),
        .zz_ins_i_c_14(zz_ins_i_c_16),
        .zz_ins_i_c_29(zz_ins_i_c_31),
        .zz_ins_i_c_28(zz_ins_i_c_30),
        .zz_ins_i_c_25(zz_ins_i_c_27),
        .zz_ins_i_c_27(zz_ins_i_c_29),
        .zz_ins_i_c_21(zz_ins_i_c_23),
        .zz_ins_i_c_26(zz_ins_i_c_28),
        .pc_gen_ctl_0_0_o2_0(pc_gen_ctl_0_0_o2[0]),
        .pc_gen_ctl_0_0_a2_2_0(pc_gen_ctl_0_0_a2_2_0),
        .pc_gen_ctl_0_0_a2_1_0(pc_gen_ctl_0_0_a2_1[2]),
        .wr_cmd_0_a2_1_21_a(wr_cmd_0_a2_1_21_a),
        .pc_gen_ctlk_1_1_0_0_a2_x(pc_gen_ctlk_1_1_0_0_a2_x),
        .muxa_ctl_0_1_0_0_a(muxa_ctl_0_1_0_0_a),
        .muxa_ctl_0_1_0_0_a2_0_0(muxa_ctl_0_1_0_0_a2_0_0),
        .m17_0_a3_0_a2_0(m17_0_a3_0_a2_0),
        .m17_0_a3_0_a(m17_0_a3_0_a),
        .alu_func_4_1_0_0_0_o2_x(alu_func_4_1_0_0_0_o2_x),
        .rd_sel_1_1_0_0_0_a(rd_sel_1_1_0_0_0_a),
        .N_1031(N_1031),
        .rd_sel_0_1_0_0_0_a2_1(rd_sel_0_1_0_0_0_a2_1),
        .ext_ctlk_1_1_0_0_a(ext_ctlk_1_1_0_0_a),
        .N_323_i_0_0_a(N_323_i_0_0_a),
        .N_323_i_0_0_1_x(N_323_i_0_0_1_x),
        .dmem_ctl_2_1_0_0_a(dmem_ctl_2_1_0_0_a),
        .dmem_ctl_1_1_0_0_a(dmem_ctl_1_1_0_0_a),
        .dmem_ctl_0_1_0_0_a(dmem_ctl_0_1_0_0_a),
        .alu_func_4_1_0_0_0_a2_2_0(alu_func_4_1_0_0_0_a2_2_0),
        .alu_func_4_1_0_0_0_a2_3(alu_func_4_1_0_0_0_a2_3),
        .alu_func_4_1_0_0_0_1(alu_func_4_1_0_0_0_1),
        .alu_func_1_1_0_0_0_a2(alu_func_1_1_0_0_0_a2),
        .rd_sel_0_1_0_0_0_a2_0_0(rd_sel_0_1_0_0_0_a2_0_0),
        .alu_func_1_1_0_0_0_2(alu_func_1_1_0_0_0_2),
        .alu_func_0_1_0_0_0_a2(alu_func_0_1_0_0_0_a2),
        .alu_func_0_1_0_0_0_3(alu_func_0_1_0_0_0_3),
        .cmp_ctl_2_1_0_0_a(cmp_ctl_2_1_0_0_a),
        .cmp_ctl_1_1_0_0_a2_2(cmp_ctl_1_1_0_0_a2_2),
        .cmp_ctl_1_1_0_0_a(cmp_ctl_1_1_0_0_a),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .wb_we_1df0_a(wb_we_1df0_a),
        .cmp_ctl_2_1_0_0_a2_1_x(cmp_ctl_2_1_0_0_a2_1_x),
        .clk_c(clk_c),
        .NET1606_i(NET1606_i),
        .NET1572_i_i(NET1572_i_i),
        .muxb_ctl_0_1_0_0_0_a(muxb_ctl_0_1_0_0_0_a),
        .fsm_dly_1_1_0_0_a_x(fsm_dly_1_1_0_0_a_x),
        .muxb_ctl_0_1_0_0_0_a2_0(muxb_ctl_0_1_0_0_0_a2_0)
);
endmodule /* decode_pipe */

// VQM4.1+ 
module r32_reg_5 (
  r32_o_0_0,
  r32_o_0_1,
  r32_o_0_2,
  r32_o_0_3,
  r32_o_0_4,
  r32_o_0_5,
  r32_o_0_6,
  r32_o_0_7,
  r32_o_0_8,
  r32_o_0_9,
  r32_o_0_10,
  r32_o_0_11,
  r32_o_0_12,
  r32_o_0_13,
  r32_o_0_14,
  r32_o_0_15,
  res_7_0_0_o3_0,
  res_7_0_0_0_0,
  res_7_0_0_0_1,
  res_7_0_0_0_2,
  res_7_0_0_0_14,
  res_7_0_0_a_0,
  res_7_0_0_a_1,
  res_7_0_0_a_5,
  res_7_0_0_a_17,
  res_7_0_0_a_18,
  res_7_0_0_a_19,
  res_7_0_0_a_20,
  res_7_0_0_a_21,
  res_7_0_0_a_22,
  res_7_0_0_a_23,
  res_7_0_0_a_24,
  res_7_0_0_a_25,
  res_7_0_0_a_26,
  res_7_0_0_a_27,
  res_7_0_0_a3_0,
  res_7_0_0_a2_0_0_0,
  ext_ctl_o_1,
  ext_ctl_o_0,
  ext_ctl_o_2,
  r32_o_0,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_16,
  r32_o_1,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_12,
  r32_o_28,
  r32_o_13,
  r32_o_29,
  r32_o_14,
  r32_o_30,
  r32_o_15,
  r32_o_31,
  res_7_0_0_0,
  res_7_0_0_1,
  res_7_0_0_2,
  res_7_0_0_3,
  res_7_0_0_4,
  res_7_0_0_5,
  res_7_0_0_6,
  res_7_0_0_7,
  res_7_0_0_8,
  res_7_0_0_9,
  res_7_0_0_10,
  res_7_0_0_11,
  res_7_0_0_12,
  res_7_0_0_13,
  res_7_0_0_14,
  res_7_0_0_15,
  res_7_0_0_16,
  res_7_0_0_17,
  res_7_0_0_18,
  res_7_0_0_19,
  res_7_0_0_20,
  res_7_0_0_21,
  res_7_0_0_22,
  res_7_0_0_23,
  res_7_0_0_24,
  res_7_0_0_25,
  res_7_0_0_26,
  res_7_0_0_27,
  res_7_0_0_28,
  res_7_0_0_29,
  res_7_0_0_30,
  res_7_0_0_31,
  clk_c
);
output r32_o_0_0 ;
output r32_o_0_1 ;
output r32_o_0_2 ;
output r32_o_0_3 ;
output r32_o_0_4 ;
output r32_o_0_5 ;
output r32_o_0_6 ;
output r32_o_0_7 ;
output r32_o_0_8 ;
output r32_o_0_9 ;
output r32_o_0_10 ;
output r32_o_0_11 ;
output r32_o_0_12 ;
output r32_o_0_13 ;
output r32_o_0_14 ;
output r32_o_0_15 ;
input res_7_0_0_o3_0 ;
input res_7_0_0_0_0 ;
input res_7_0_0_0_1 ;
input res_7_0_0_0_2 ;
input res_7_0_0_0_14 ;
input res_7_0_0_a_0 ;
input res_7_0_0_a_1 ;
input res_7_0_0_a_5 ;
input res_7_0_0_a_17 ;
input res_7_0_0_a_18 ;
input res_7_0_0_a_19 ;
input res_7_0_0_a_20 ;
input res_7_0_0_a_21 ;
input res_7_0_0_a_22 ;
input res_7_0_0_a_23 ;
input res_7_0_0_a_24 ;
input res_7_0_0_a_25 ;
input res_7_0_0_a_26 ;
input res_7_0_0_a_27 ;
input res_7_0_0_a3_0 ;
input res_7_0_0_a2_0_0_0 ;
input ext_ctl_o_1 ;
input ext_ctl_o_0 ;
input ext_ctl_o_2 ;
input r32_o_0 ;
input r32_o_2 ;
input r32_o_3 ;
input r32_o_4 ;
input r32_o_5 ;
input r32_o_6 ;
input r32_o_7 ;
input r32_o_8 ;
input r32_o_9 ;
input r32_o_10 ;
input r32_o_11 ;
output r32_o_16 ;
input r32_o_1 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
input r32_o_12 ;
output r32_o_28 ;
input r32_o_13 ;
output r32_o_29 ;
input r32_o_14 ;
output r32_o_30 ;
input r32_o_15 ;
output r32_o_31 ;
output res_7_0_0_0 ;
output res_7_0_0_1 ;
output res_7_0_0_2 ;
output res_7_0_0_3 ;
output res_7_0_0_4 ;
output res_7_0_0_5 ;
output res_7_0_0_6 ;
output res_7_0_0_7 ;
output res_7_0_0_8 ;
output res_7_0_0_9 ;
output res_7_0_0_10 ;
output res_7_0_0_11 ;
output res_7_0_0_12 ;
output res_7_0_0_13 ;
output res_7_0_0_14 ;
output res_7_0_0_15 ;
output res_7_0_0_16 ;
output res_7_0_0_17 ;
output res_7_0_0_18 ;
output res_7_0_0_19 ;
output res_7_0_0_20 ;
output res_7_0_0_21 ;
output res_7_0_0_22 ;
output res_7_0_0_23 ;
output res_7_0_0_24 ;
output res_7_0_0_25 ;
output res_7_0_0_26 ;
output res_7_0_0_27 ;
output res_7_0_0_28 ;
output res_7_0_0_29 ;
output res_7_0_0_30 ;
output res_7_0_0_31 ;
input clk_c ;
wire r32_o_0_0 ;
wire r32_o_0_1 ;
wire r32_o_0_2 ;
wire r32_o_0_3 ;
wire r32_o_0_4 ;
wire r32_o_0_5 ;
wire r32_o_0_6 ;
wire r32_o_0_7 ;
wire r32_o_0_8 ;
wire r32_o_0_9 ;
wire r32_o_0_10 ;
wire r32_o_0_11 ;
wire r32_o_0_12 ;
wire r32_o_0_13 ;
wire r32_o_0_14 ;
wire r32_o_0_15 ;
wire res_7_0_0_o3_0 ;
wire res_7_0_0_0_0 ;
wire res_7_0_0_0_1 ;
wire res_7_0_0_0_2 ;
wire res_7_0_0_0_14 ;
wire res_7_0_0_a_0 ;
wire res_7_0_0_a_1 ;
wire res_7_0_0_a_5 ;
wire res_7_0_0_a_17 ;
wire res_7_0_0_a_18 ;
wire res_7_0_0_a_19 ;
wire res_7_0_0_a_20 ;
wire res_7_0_0_a_21 ;
wire res_7_0_0_a_22 ;
wire res_7_0_0_a_23 ;
wire res_7_0_0_a_24 ;
wire res_7_0_0_a_25 ;
wire res_7_0_0_a_26 ;
wire res_7_0_0_a_27 ;
wire res_7_0_0_a3_0 ;
wire res_7_0_0_a2_0_0_0 ;
wire ext_ctl_o_1 ;
wire ext_ctl_o_0 ;
wire ext_ctl_o_2 ;
wire r32_o_0 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_16 ;
wire r32_o_1 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_12 ;
wire r32_o_28 ;
wire r32_o_13 ;
wire r32_o_29 ;
wire r32_o_14 ;
wire r32_o_30 ;
wire r32_o_15 ;
wire r32_o_31 ;
wire res_7_0_0_0 ;
wire res_7_0_0_1 ;
wire res_7_0_0_2 ;
wire res_7_0_0_3 ;
wire res_7_0_0_4 ;
wire res_7_0_0_5 ;
wire res_7_0_0_6 ;
wire res_7_0_0_7 ;
wire res_7_0_0_8 ;
wire res_7_0_0_9 ;
wire res_7_0_0_10 ;
wire res_7_0_0_11 ;
wire res_7_0_0_12 ;
wire res_7_0_0_13 ;
wire res_7_0_0_14 ;
wire res_7_0_0_15 ;
wire res_7_0_0_16 ;
wire res_7_0_0_17 ;
wire res_7_0_0_18 ;
wire res_7_0_0_19 ;
wire res_7_0_0_20 ;
wire res_7_0_0_21 ;
wire res_7_0_0_22 ;
wire res_7_0_0_23 ;
wire res_7_0_0_24 ;
wire res_7_0_0_25 ;
wire res_7_0_0_26 ;
wire res_7_0_0_27 ;
wire res_7_0_0_28 ;
wire res_7_0_0_29 ;
wire res_7_0_0_30 ;
wire res_7_0_0_31 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_31__Z (
        .combout(res_7_0_0_31),
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(r32_o_15),
        .datab(ext_ctl_o_2),
        .datac(ext_ctl_o_0),
        .datad(ext_ctl_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_and_comb";
defparam r32_o_31__Z.lut_mask="0828";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .combout(res_7_0_0_30),
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(r32_o_14),
        .datab(ext_ctl_o_0),
        .datac(res_7_0_0_a2_0_0_0),
        .datad(res_7_0_0_a3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_and_comb";
defparam r32_o_30__Z.lut_mask="ff20";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .combout(res_7_0_0_29),
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(r32_o_13),
        .datab(ext_ctl_o_0),
        .datac(res_7_0_0_a2_0_0_0),
        .datad(res_7_0_0_a3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_and_comb";
defparam r32_o_29__Z.lut_mask="ff20";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .combout(res_7_0_0_28),
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(r32_o_12),
        .datab(ext_ctl_o_0),
        .datac(res_7_0_0_a2_0_0_0),
        .datad(res_7_0_0_a3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_and_comb";
defparam r32_o_28__Z.lut_mask="ff20";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .combout(res_7_0_0_27),
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_27),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_and_comb";
defparam r32_o_27__Z.lut_mask="f8f8";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .combout(res_7_0_0_26),
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_26),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_and_comb";
defparam r32_o_26__Z.lut_mask="f8f8";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .combout(res_7_0_0_25),
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_25),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_and_comb";
defparam r32_o_25__Z.lut_mask="f8f8";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .combout(res_7_0_0_24),
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_24),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_and_comb";
defparam r32_o_24__Z.lut_mask="f8f8";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .combout(res_7_0_0_23),
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_23),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_and_comb";
defparam r32_o_23__Z.lut_mask="f8f8";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .combout(res_7_0_0_22),
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_22),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_and_comb";
defparam r32_o_22__Z.lut_mask="f8f8";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .combout(res_7_0_0_21),
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_21),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_and_comb";
defparam r32_o_21__Z.lut_mask="f8f8";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .combout(res_7_0_0_20),
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_20),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_and_comb";
defparam r32_o_20__Z.lut_mask="f8f8";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .combout(res_7_0_0_19),
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_19),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_and_comb";
defparam r32_o_19__Z.lut_mask="f8f8";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .combout(res_7_0_0_18),
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(ext_ctl_o_1),
        .datab(res_7_0_0_a_18),
        .datac(res_7_0_0_a3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_and_comb";
defparam r32_o_18__Z.lut_mask="f8f8";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .combout(res_7_0_0_17),
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(r32_o_1),
        .datab(ext_ctl_o_0),
        .datac(res_7_0_0_a_17),
        .datad(res_7_0_0_a3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_and_comb";
defparam r32_o_17__Z.lut_mask="ffc2";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .combout(res_7_0_0_16),
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(r32_o_14),
        .datab(res_7_0_0_0_14),
        .datac(res_7_0_0_o3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_and_comb";
defparam r32_o_16__Z.lut_mask="ecec";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .combout(res_7_0_0_15),
        .regout(r32_o_0_15),
        .clk(clk_c),
        .dataa(r32_o_13),
        .datab(r32_o_15),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_and_comb";
defparam r32_o_15__Z.lut_mask="eac0";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .combout(res_7_0_0_14),
        .regout(r32_o_0_14),
        .clk(clk_c),
        .dataa(r32_o_12),
        .datab(r32_o_14),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_and_comb";
defparam r32_o_14__Z.lut_mask="eac0";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .combout(res_7_0_0_13),
        .regout(r32_o_0_13),
        .clk(clk_c),
        .dataa(r32_o_13),
        .datab(r32_o_11),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_and_comb";
defparam r32_o_13__Z.lut_mask="eca0";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .combout(res_7_0_0_12),
        .regout(r32_o_0_12),
        .clk(clk_c),
        .dataa(r32_o_10),
        .datab(r32_o_12),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_and_comb";
defparam r32_o_12__Z.lut_mask="eac0";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .combout(res_7_0_0_11),
        .regout(r32_o_0_11),
        .clk(clk_c),
        .dataa(r32_o_9),
        .datab(r32_o_11),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_and_comb";
defparam r32_o_11__Z.lut_mask="eac0";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .combout(res_7_0_0_10),
        .regout(r32_o_0_10),
        .clk(clk_c),
        .dataa(r32_o_8),
        .datab(r32_o_10),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_and_comb";
defparam r32_o_10__Z.lut_mask="eac0";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .combout(res_7_0_0_9),
        .regout(r32_o_0_9),
        .clk(clk_c),
        .dataa(r32_o_7),
        .datab(r32_o_9),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_and_comb";
defparam r32_o_9__Z.lut_mask="eac0";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .combout(res_7_0_0_8),
        .regout(r32_o_0_8),
        .clk(clk_c),
        .dataa(r32_o_8),
        .datab(r32_o_6),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_and_comb";
defparam r32_o_8__Z.lut_mask="eca0";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .combout(res_7_0_0_7),
        .regout(r32_o_0_7),
        .clk(clk_c),
        .dataa(r32_o_7),
        .datab(r32_o_5),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_and_comb";
defparam r32_o_7__Z.lut_mask="eca0";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .combout(res_7_0_0_6),
        .regout(r32_o_0_6),
        .clk(clk_c),
        .dataa(r32_o_4),
        .datab(r32_o_6),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_and_comb";
defparam r32_o_6__Z.lut_mask="eac0";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .combout(res_7_0_0_5),
        .regout(r32_o_0_5),
        .clk(clk_c),
        .dataa(r32_o_5),
        .datab(r32_o_3),
        .datac(res_7_0_0_a_5),
        .datad(res_7_0_0_o3_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_and_comb";
defparam r32_o_5__Z.lut_mask="eca0";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .combout(res_7_0_0_4),
        .regout(r32_o_0_4),
        .clk(clk_c),
        .dataa(r32_o_2),
        .datab(res_7_0_0_0_2),
        .datac(res_7_0_0_o3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_and_comb";
defparam r32_o_4__Z.lut_mask="ecec";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .combout(res_7_0_0_3),
        .regout(r32_o_0_3),
        .clk(clk_c),
        .dataa(r32_o_1),
        .datab(res_7_0_0_0_1),
        .datac(res_7_0_0_o3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_and_comb";
defparam r32_o_3__Z.lut_mask="ecec";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .combout(res_7_0_0_2),
        .regout(r32_o_0_2),
        .clk(clk_c),
        .dataa(r32_o_0),
        .datab(res_7_0_0_0_0),
        .datac(res_7_0_0_o3_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_and_comb";
defparam r32_o_2__Z.lut_mask="ecec";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .combout(res_7_0_0_1),
        .regout(r32_o_0_1),
        .clk(clk_c),
        .dataa(ext_ctl_o_0),
        .datab(ext_ctl_o_1),
        .datac(res_7_0_0_a_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_and_comb";
defparam r32_o_1__Z.lut_mask="4242";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_0__Z (
        .combout(res_7_0_0_0),
        .regout(r32_o_0_0),
        .clk(clk_c),
        .dataa(ext_ctl_o_0),
        .datab(ext_ctl_o_1),
        .datac(res_7_0_0_a_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_and_comb";
defparam r32_o_0__Z.lut_mask="4242";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_5 */

// VQM4.1+ 
module forward_node_fw_alu_rs (
  r5_o_0_0,
  r5_o_0_3,
  r5_o_0_1,
  r5_o_0_2,
  r5_o_0_4,
  q_2,
  q_3,
  q_0,
  q_1,
  q_4,
  r5_o_3,
  r5_o_0,
  r5_o_1,
  r5_o_2,
  r5_o_4,
  un14_mux_fw,
  un30_mux_fw,
  un17_mux_fw_NE,
  un1_mux_fw_NE
);
input r5_o_0_0 ;
input r5_o_0_3 ;
input r5_o_0_1 ;
input r5_o_0_2 ;
input r5_o_0_4 ;
input q_2 ;
input q_3 ;
input q_0 ;
input q_1 ;
input q_4 ;
input r5_o_3 ;
input r5_o_0 ;
input r5_o_1 ;
input r5_o_2 ;
input r5_o_4 ;
output un14_mux_fw ;
output un30_mux_fw ;
output un17_mux_fw_NE ;
output un1_mux_fw_NE ;
wire r5_o_0_0 ;
wire r5_o_0_3 ;
wire r5_o_0_1 ;
wire r5_o_0_2 ;
wire r5_o_0_4 ;
wire q_2 ;
wire q_3 ;
wire q_0 ;
wire q_1 ;
wire q_4 ;
wire r5_o_3 ;
wire r5_o_0 ;
wire r5_o_1 ;
wire r5_o_2 ;
wire r5_o_4 ;
wire un14_mux_fw ;
wire un30_mux_fw ;
wire un17_mux_fw_NE ;
wire un1_mux_fw_NE ;
wire un1_mux_fw_NE_1 ;
wire un1_mux_fw_NE_2 ;
wire un17_mux_fw_NE_1 ;
wire un17_mux_fw_NE_2 ;
wire un30_mux_fw_0 ;
wire un14_mux_fw_0 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:33
  cyclone_lcell un1_mux_fw_NE_cZ (
        .combout(un1_mux_fw_NE),
        .dataa(r5_o_4),
        .datab(q_4),
        .datac(un1_mux_fw_NE_1),
        .datad(un1_mux_fw_NE_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_cZ.lut_mask="fff6";
defparam un1_mux_fw_NE_cZ.synch_mode="off";
defparam un1_mux_fw_NE_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un17_mux_fw_NE_cZ (
        .combout(un17_mux_fw_NE),
        .dataa(q_4),
        .datab(r5_o_0_4),
        .datac(un17_mux_fw_NE_1),
        .datad(un17_mux_fw_NE_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un17_mux_fw_NE_cZ.operation_mode="normal";
defparam un17_mux_fw_NE_cZ.output_mode="comb_only";
defparam un17_mux_fw_NE_cZ.lut_mask="fff6";
defparam un17_mux_fw_NE_cZ.synch_mode="off";
defparam un17_mux_fw_NE_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un30_mux_fw_cZ (
        .combout(un30_mux_fw),
        .dataa(r5_o_2),
        .datab(r5_o_0_4),
        .datac(r5_o_1),
        .datad(un30_mux_fw_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un30_mux_fw_cZ.operation_mode="normal";
defparam un30_mux_fw_cZ.output_mode="comb_only";
defparam un30_mux_fw_cZ.lut_mask="0100";
defparam un30_mux_fw_cZ.synch_mode="off";
defparam un30_mux_fw_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un14_mux_fw_cZ (
        .combout(un14_mux_fw),
        .dataa(r5_o_0_2),
        .datab(r5_o_4),
        .datac(r5_o_0_1),
        .datad(un14_mux_fw_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un14_mux_fw_cZ.operation_mode="normal";
defparam un14_mux_fw_cZ.output_mode="comb_only";
defparam un14_mux_fw_cZ.lut_mask="0100";
defparam un14_mux_fw_cZ.synch_mode="off";
defparam un14_mux_fw_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_1_cZ (
        .combout(un1_mux_fw_NE_1),
        .dataa(r5_o_0_1),
        .datab(q_1),
        .datac(r5_o_0),
        .datad(q_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_1_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_1_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_1_cZ.lut_mask="6ff6";
defparam un1_mux_fw_NE_1_cZ.synch_mode="off";
defparam un1_mux_fw_NE_1_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_2_cZ (
        .combout(un1_mux_fw_NE_2),
        .dataa(r5_o_3),
        .datab(q_3),
        .datac(r5_o_0_2),
        .datad(q_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_2_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_2_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_2_cZ.lut_mask="6ff6";
defparam un1_mux_fw_NE_2_cZ.synch_mode="off";
defparam un1_mux_fw_NE_2_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un17_mux_fw_NE_2_cZ (
        .combout(un17_mux_fw_NE_2),
        .dataa(q_3),
        .datab(q_2),
        .datac(r5_o_0_3),
        .datad(r5_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un17_mux_fw_NE_2_cZ.operation_mode="normal";
defparam un17_mux_fw_NE_2_cZ.output_mode="comb_only";
defparam un17_mux_fw_NE_2_cZ.lut_mask="7bde";
defparam un17_mux_fw_NE_2_cZ.synch_mode="off";
defparam un17_mux_fw_NE_2_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un17_mux_fw_NE_1_cZ (
        .combout(un17_mux_fw_NE_1),
        .dataa(q_1),
        .datab(q_0),
        .datac(r5_o_1),
        .datad(r5_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un17_mux_fw_NE_1_cZ.operation_mode="normal";
defparam un17_mux_fw_NE_1_cZ.output_mode="comb_only";
defparam un17_mux_fw_NE_1_cZ.lut_mask="7bde";
defparam un17_mux_fw_NE_1_cZ.synch_mode="off";
defparam un17_mux_fw_NE_1_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un30_mux_fw_0_cZ (
        .combout(un30_mux_fw_0),
        .dataa(VCC),
        .datab(VCC),
        .datac(r5_o_0_3),
        .datad(r5_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un30_mux_fw_0_cZ.operation_mode="normal";
defparam un30_mux_fw_0_cZ.output_mode="comb_only";
defparam un30_mux_fw_0_cZ.lut_mask="000f";
defparam un30_mux_fw_0_cZ.synch_mode="off";
defparam un30_mux_fw_0_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un14_mux_fw_0_cZ (
        .combout(un14_mux_fw_0),
        .dataa(VCC),
        .datab(VCC),
        .datac(r5_o_3),
        .datad(r5_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un14_mux_fw_0_cZ.operation_mode="normal";
defparam un14_mux_fw_0_cZ.output_mode="comb_only";
defparam un14_mux_fw_0_cZ.lut_mask="000f";
defparam un14_mux_fw_0_cZ.synch_mode="off";
defparam un14_mux_fw_0_cZ.sum_lutc_input="datac";
endmodule /* forward_node_fw_alu_rs */

// VQM4.1+ 
module forward_node_fw_alu_rs_1 (
  r5_o_0_0,
  r5_o_0_1,
  r5_o_0_2,
  r5_o_0_3,
  r5_o_0,
  r5_o_1,
  r5_o_3,
  r5_o_2,
  r5_o_4,
  q_0,
  q_1,
  q_3,
  q_2,
  q_4,
  wb_we_o_0,
  un17_mux_fw_NE_1,
  un17_mux_fw_NE_2,
  un14_mux_fw,
  mux_fw_1
);
input r5_o_0_0 ;
input r5_o_0_1 ;
input r5_o_0_2 ;
input r5_o_0_3 ;
input r5_o_0 ;
input r5_o_1 ;
input r5_o_3 ;
input r5_o_2 ;
input r5_o_4 ;
input q_0 ;
input q_1 ;
input q_3 ;
input q_2 ;
input q_4 ;
input wb_we_o_0 ;
output un17_mux_fw_NE_1 ;
output un17_mux_fw_NE_2 ;
input un14_mux_fw ;
output mux_fw_1 ;
wire r5_o_0_0 ;
wire r5_o_0_1 ;
wire r5_o_0_2 ;
wire r5_o_0_3 ;
wire r5_o_0 ;
wire r5_o_1 ;
wire r5_o_3 ;
wire r5_o_2 ;
wire r5_o_4 ;
wire q_0 ;
wire q_1 ;
wire q_3 ;
wire q_2 ;
wire q_4 ;
wire wb_we_o_0 ;
wire un17_mux_fw_NE_1 ;
wire un17_mux_fw_NE_2 ;
wire un14_mux_fw ;
wire mux_fw_1 ;
wire un1_mux_fw_NE ;
wire un1_mux_fw_NE_1 ;
wire un1_mux_fw_NE_a ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:33
  cyclone_lcell mux_fw_1_cZ (
        .combout(mux_fw_1),
        .dataa(VCC),
        .datab(wb_we_o_0),
        .datac(un1_mux_fw_NE),
        .datad(un14_mux_fw),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam mux_fw_1_cZ.operation_mode="normal";
defparam mux_fw_1_cZ.output_mode="comb_only";
defparam mux_fw_1_cZ.lut_mask="000c";
defparam mux_fw_1_cZ.synch_mode="off";
defparam mux_fw_1_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_cZ (
        .combout(un1_mux_fw_NE),
        .dataa(q_4),
        .datab(r5_o_4),
        .datac(un1_mux_fw_NE_1),
        .datad(un1_mux_fw_NE_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_cZ.lut_mask="fff6";
defparam un1_mux_fw_NE_cZ.synch_mode="off";
defparam un1_mux_fw_NE_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_a_cZ (
        .combout(un1_mux_fw_NE_a),
        .dataa(r5_o_2),
        .datab(q_2),
        .datac(r5_o_3),
        .datad(q_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_a_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_a_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_a_cZ.lut_mask="6ff6";
defparam un1_mux_fw_NE_a_cZ.synch_mode="off";
defparam un1_mux_fw_NE_a_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_1_cZ (
        .combout(un1_mux_fw_NE_1),
        .dataa(r5_o_1),
        .datab(q_1),
        .datac(r5_o_0),
        .datad(q_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_1_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_1_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_1_cZ.lut_mask="6ff6";
defparam un1_mux_fw_NE_1_cZ.synch_mode="off";
defparam un1_mux_fw_NE_1_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un17_mux_fw_NE_2_cZ (
        .combout(un17_mux_fw_NE_2),
        .dataa(q_3),
        .datab(q_2),
        .datac(r5_o_0_3),
        .datad(r5_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un17_mux_fw_NE_2_cZ.operation_mode="normal";
defparam un17_mux_fw_NE_2_cZ.output_mode="comb_only";
defparam un17_mux_fw_NE_2_cZ.lut_mask="7bde";
defparam un17_mux_fw_NE_2_cZ.synch_mode="off";
defparam un17_mux_fw_NE_2_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un17_mux_fw_NE_1_cZ (
        .combout(un17_mux_fw_NE_1),
        .dataa(q_1),
        .datab(q_0),
        .datac(r5_o_0_1),
        .datad(r5_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un17_mux_fw_NE_1_cZ.operation_mode="normal";
defparam un17_mux_fw_NE_1_cZ.output_mode="comb_only";
defparam un17_mux_fw_NE_1_cZ.lut_mask="7bde";
defparam un17_mux_fw_NE_1_cZ.synch_mode="off";
defparam un17_mux_fw_NE_1_cZ.sum_lutc_input="datac";
endmodule /* forward_node_fw_alu_rs_1 */

// VQM4.1+ 
module forward_node_fw_alu_rs_2 (
  r5_o_0_0,
  r5_o_0_1,
  r5_o_0_2,
  r5_o_0_3,
  r5_o_0_4,
  wb_we_o_0_0,
  wb_we_o_0,
  r5_o_0,
  r5_o_4,
  r5_o_3,
  r5_o_2,
  r5_o_1,
  r32_o_0,
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_1,
  un14_mux_fw,
  mux_fw_1,
  un16_mux_fw_0,
  un30_mux_fw,
  un32_mux_fw
);
input r5_o_0_0 ;
input r5_o_0_1 ;
input r5_o_0_2 ;
input r5_o_0_3 ;
input r5_o_0_4 ;
input wb_we_o_0_0 ;
input wb_we_o_0 ;
input r5_o_0 ;
input r5_o_4 ;
input r5_o_3 ;
input r5_o_2 ;
input r5_o_1 ;
input r32_o_0 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_1 ;
input un14_mux_fw ;
output mux_fw_1 ;
output un16_mux_fw_0 ;
input un30_mux_fw ;
output un32_mux_fw ;
wire r5_o_0_0 ;
wire r5_o_0_1 ;
wire r5_o_0_2 ;
wire r5_o_0_3 ;
wire r5_o_0_4 ;
wire wb_we_o_0_0 ;
wire wb_we_o_0 ;
wire r5_o_0 ;
wire r5_o_4 ;
wire r5_o_3 ;
wire r5_o_2 ;
wire r5_o_1 ;
wire r32_o_0 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire un14_mux_fw ;
wire mux_fw_1 ;
wire un16_mux_fw_0 ;
wire un30_mux_fw ;
wire un32_mux_fw ;
wire un16_mux_fw_0_a ;
wire un17_mux_fw_0 ;
wire un16_mux_fw_0_a_s ;
wire mux_fw_1_a ;
wire un1_mux_fw_NE_2 ;
wire un1_mux_fw_NE_1 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:34
  cyclone_lcell un16_mux_fw_0_a_cZ (
        .combout(un16_mux_fw_0_a),
        .dataa(r32_o_1),
        .datab(r5_o_1),
        .datac(un17_mux_fw_0),
        .datad(un16_mux_fw_0_a_s),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un16_mux_fw_0_a_cZ.operation_mode="normal";
defparam un16_mux_fw_0_a_cZ.output_mode="comb_only";
defparam un16_mux_fw_0_a_cZ.lut_mask="0900";
defparam un16_mux_fw_0_a_cZ.synch_mode="off";
defparam un16_mux_fw_0_a_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un16_mux_fw_0_a_s_cZ (
        .combout(un16_mux_fw_0_a_s),
        .dataa(r32_o_2),
        .datab(r32_o_3),
        .datac(r5_o_2),
        .datad(r5_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un16_mux_fw_0_a_s_cZ.operation_mode="normal";
defparam un16_mux_fw_0_a_s_cZ.output_mode="comb_only";
defparam un16_mux_fw_0_a_s_cZ.lut_mask="8421";
defparam un16_mux_fw_0_a_s_cZ.synch_mode="off";
defparam un16_mux_fw_0_a_s_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un32_mux_fw_cZ (
        .combout(un32_mux_fw),
        .dataa(VCC),
        .datab(un30_mux_fw),
        .datac(un16_mux_fw_0),
        .datad(mux_fw_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un32_mux_fw_cZ.operation_mode="normal";
defparam un32_mux_fw_cZ.output_mode="comb_only";
defparam un32_mux_fw_cZ.lut_mask="00cf";
defparam un32_mux_fw_cZ.synch_mode="off";
defparam un32_mux_fw_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell mux_fw_1_cZ (
        .combout(mux_fw_1),
        .dataa(mux_fw_1_a),
        .datab(un1_mux_fw_NE_2),
        .datac(un1_mux_fw_NE_1),
        .datad(un14_mux_fw),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam mux_fw_1_cZ.operation_mode="normal";
defparam mux_fw_1_cZ.output_mode="comb_only";
defparam mux_fw_1_cZ.lut_mask="0001";
defparam mux_fw_1_cZ.synch_mode="off";
defparam mux_fw_1_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell mux_fw_1_a_cZ (
        .combout(mux_fw_1_a),
        .dataa(VCC),
        .datab(r32_o_4),
        .datac(r5_o_4),
        .datad(wb_we_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam mux_fw_1_a_cZ.operation_mode="normal";
defparam mux_fw_1_a_cZ.output_mode="comb_only";
defparam mux_fw_1_a_cZ.lut_mask="3cff";
defparam mux_fw_1_a_cZ.synch_mode="off";
defparam mux_fw_1_a_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un16_mux_fw_0_cZ (
        .combout(un16_mux_fw_0),
        .dataa(r32_o_4),
        .datab(wb_we_o_0_0),
        .datac(r5_o_0_4),
        .datad(un16_mux_fw_0_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un16_mux_fw_0_cZ.operation_mode="normal";
defparam un16_mux_fw_0_cZ.output_mode="comb_only";
defparam un16_mux_fw_0_cZ.lut_mask="8400";
defparam un16_mux_fw_0_cZ.synch_mode="off";
defparam un16_mux_fw_0_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_2_cZ (
        .combout(un1_mux_fw_NE_2),
        .dataa(r32_o_3),
        .datab(r5_o_0_3),
        .datac(r32_o_2),
        .datad(r5_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_2_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_2_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_2_cZ.lut_mask="6ff6";
defparam un1_mux_fw_NE_2_cZ.synch_mode="off";
defparam un1_mux_fw_NE_2_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_1_cZ (
        .combout(un1_mux_fw_NE_1),
        .dataa(r32_o_1),
        .datab(r5_o_0_1),
        .datac(r32_o_0),
        .datad(r5_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_1_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_1_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_1_cZ.lut_mask="6ff6";
defparam un1_mux_fw_NE_1_cZ.synch_mode="off";
defparam un1_mux_fw_NE_1_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un17_mux_fw_0_cZ (
        .combout(un17_mux_fw_0),
        .dataa(VCC),
        .datab(VCC),
        .datac(r32_o_0),
        .datad(r5_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un17_mux_fw_0_cZ.operation_mode="normal";
defparam un17_mux_fw_0_cZ.output_mode="comb_only";
defparam un17_mux_fw_0_cZ.lut_mask="0ff0";
defparam un17_mux_fw_0_cZ.synch_mode="off";
defparam un17_mux_fw_0_cZ.sum_lutc_input="datac";
endmodule /* forward_node_fw_alu_rs_2 */

// VQM4.1+ 
module forward_node_fw_alu_rs_3 (
  wb_we_o_0_0,
  r5_o_0_0,
  r5_o_0_1,
  r5_o_0_2,
  r5_o_0_3,
  r5_o_0_4,
  r5_o_0,
  r5_o_1,
  r5_o_2,
  r5_o_3,
  r5_o_4,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  wb_we_o_0,
  un14_mux_fw,
  mux_fw_1,
  un30_mux_fw,
  un32_mux_fw_a,
  un32_mux_fw
);
input wb_we_o_0_0 ;
input r5_o_0_0 ;
input r5_o_0_1 ;
input r5_o_0_2 ;
input r5_o_0_3 ;
input r5_o_0_4 ;
input r5_o_0 ;
input r5_o_1 ;
input r5_o_2 ;
input r5_o_3 ;
input r5_o_4 ;
input r32_o_0 ;
input r32_o_1 ;
input r32_o_2 ;
input r32_o_3 ;
input r32_o_4 ;
input wb_we_o_0 ;
input un14_mux_fw ;
output mux_fw_1 ;
input un30_mux_fw ;
output un32_mux_fw_a ;
output un32_mux_fw ;
wire wb_we_o_0_0 ;
wire r5_o_0_0 ;
wire r5_o_0_1 ;
wire r5_o_0_2 ;
wire r5_o_0_3 ;
wire r5_o_0_4 ;
wire r5_o_0 ;
wire r5_o_1 ;
wire r5_o_2 ;
wire r5_o_3 ;
wire r5_o_4 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire wb_we_o_0 ;
wire un14_mux_fw ;
wire mux_fw_1 ;
wire un30_mux_fw ;
wire un32_mux_fw_a ;
wire un32_mux_fw ;
wire un17_mux_fw_NE_1 ;
wire un17_mux_fw_NE_2 ;
wire mux_fw_1_a ;
wire un1_mux_fw_NE_2 ;
wire un1_mux_fw_NE_1 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:33
  cyclone_lcell un32_mux_fw_cZ (
        .combout(un32_mux_fw),
        .dataa(wb_we_o_0),
        .datab(un32_mux_fw_a),
        .datac(un30_mux_fw),
        .datad(mux_fw_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un32_mux_fw_cZ.operation_mode="normal";
defparam un32_mux_fw_cZ.output_mode="comb_only";
defparam un32_mux_fw_cZ.lut_mask="00f7";
defparam un32_mux_fw_cZ.synch_mode="off";
defparam un32_mux_fw_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un32_mux_fw_a_cZ (
        .combout(un32_mux_fw_a),
        .dataa(r32_o_4),
        .datab(r5_o_4),
        .datac(un17_mux_fw_NE_1),
        .datad(un17_mux_fw_NE_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un32_mux_fw_a_cZ.operation_mode="normal";
defparam un32_mux_fw_a_cZ.output_mode="comb_only";
defparam un32_mux_fw_a_cZ.lut_mask="0009";
defparam un32_mux_fw_a_cZ.synch_mode="off";
defparam un32_mux_fw_a_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell mux_fw_1_cZ (
        .combout(mux_fw_1),
        .dataa(mux_fw_1_a),
        .datab(un1_mux_fw_NE_2),
        .datac(un1_mux_fw_NE_1),
        .datad(un14_mux_fw),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam mux_fw_1_cZ.operation_mode="normal";
defparam mux_fw_1_cZ.output_mode="comb_only";
defparam mux_fw_1_cZ.lut_mask="0001";
defparam mux_fw_1_cZ.synch_mode="off";
defparam mux_fw_1_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell mux_fw_1_a_cZ (
        .combout(mux_fw_1_a),
        .dataa(VCC),
        .datab(r32_o_4),
        .datac(r5_o_0_4),
        .datad(wb_we_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam mux_fw_1_a_cZ.operation_mode="normal";
defparam mux_fw_1_a_cZ.output_mode="comb_only";
defparam mux_fw_1_a_cZ.lut_mask="3cff";
defparam mux_fw_1_a_cZ.synch_mode="off";
defparam mux_fw_1_a_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_2_cZ (
        .combout(un1_mux_fw_NE_2),
        .dataa(r32_o_3),
        .datab(r5_o_3),
        .datac(r32_o_2),
        .datad(r5_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_2_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_2_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_2_cZ.lut_mask="6ff6";
defparam un1_mux_fw_NE_2_cZ.synch_mode="off";
defparam un1_mux_fw_NE_2_cZ.sum_lutc_input="datac";
// @12:33
  cyclone_lcell un1_mux_fw_NE_1_cZ (
        .combout(un1_mux_fw_NE_1),
        .dataa(r32_o_1),
        .datab(r5_o_1),
        .datac(r32_o_0),
        .datad(r5_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_mux_fw_NE_1_cZ.operation_mode="normal";
defparam un1_mux_fw_NE_1_cZ.output_mode="comb_only";
defparam un1_mux_fw_NE_1_cZ.lut_mask="6ff6";
defparam un1_mux_fw_NE_1_cZ.synch_mode="off";
defparam un1_mux_fw_NE_1_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un17_mux_fw_NE_2_cZ (
        .combout(un17_mux_fw_NE_2),
        .dataa(r32_o_3),
        .datab(r32_o_2),
        .datac(r5_o_0_3),
        .datad(r5_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un17_mux_fw_NE_2_cZ.operation_mode="normal";
defparam un17_mux_fw_NE_2_cZ.output_mode="comb_only";
defparam un17_mux_fw_NE_2_cZ.lut_mask="7bde";
defparam un17_mux_fw_NE_2_cZ.synch_mode="off";
defparam un17_mux_fw_NE_2_cZ.sum_lutc_input="datac";
// @12:34
  cyclone_lcell un17_mux_fw_NE_1_cZ (
        .combout(un17_mux_fw_NE_1),
        .dataa(r32_o_1),
        .datab(r32_o_0),
        .datac(r5_o_0_1),
        .datad(r5_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un17_mux_fw_NE_1_cZ.operation_mode="normal";
defparam un17_mux_fw_NE_1_cZ.output_mode="comb_only";
defparam un17_mux_fw_NE_1_cZ.lut_mask="7bde";
defparam un17_mux_fw_NE_1_cZ.synch_mode="off";
defparam un17_mux_fw_NE_1_cZ.sum_lutc_input="datac";
endmodule /* forward_node_fw_alu_rs_3 */

// VQM4.1+ 
module fw_latch5 (
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  q_0,
  q_1,
  q_2,
  q_3,
  q_4,
  clk_c
);
input r32_o_0 ;
input r32_o_1 ;
input r32_o_2 ;
input r32_o_3 ;
input r32_o_4 ;
output q_0 ;
output q_1 ;
output q_2 ;
output q_3 ;
output q_4 ;
input clk_c ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire q_0 ;
wire q_1 ;
wire q_2 ;
wire q_3 ;
wire q_4 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:18
  cyclone_lcell q_4__Z (
        .regout(q_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_4__Z.operation_mode="normal";
defparam q_4__Z.output_mode="reg_only";
defparam q_4__Z.lut_mask="ff00";
defparam q_4__Z.synch_mode="off";
defparam q_4__Z.sum_lutc_input="datac";
// @12:18
  cyclone_lcell q_3__Z (
        .regout(q_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_3__Z.operation_mode="normal";
defparam q_3__Z.output_mode="reg_only";
defparam q_3__Z.lut_mask="ff00";
defparam q_3__Z.synch_mode="off";
defparam q_3__Z.sum_lutc_input="datac";
// @12:18
  cyclone_lcell q_2__Z (
        .regout(q_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_2__Z.operation_mode="normal";
defparam q_2__Z.output_mode="reg_only";
defparam q_2__Z.lut_mask="ff00";
defparam q_2__Z.synch_mode="off";
defparam q_2__Z.sum_lutc_input="datac";
// @12:18
  cyclone_lcell q_1__Z (
        .regout(q_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_1__Z.operation_mode="normal";
defparam q_1__Z.output_mode="reg_only";
defparam q_1__Z.lut_mask="ff00";
defparam q_1__Z.synch_mode="off";
defparam q_1__Z.sum_lutc_input="datac";
// @12:18
  cyclone_lcell q_0__Z (
        .regout(q_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0__Z.operation_mode="normal";
defparam q_0__Z.output_mode="reg_only";
defparam q_0__Z.lut_mask="ff00";
defparam q_0__Z.synch_mode="off";
defparam q_0__Z.sum_lutc_input="datac";
endmodule /* fw_latch5 */

// VQM4.1+ 
module fw_latch5_1 (
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  q_0,
  q_1,
  q_2,
  q_3,
  q_4,
  clk_c
);
input r32_o_0 ;
input r32_o_1 ;
input r32_o_2 ;
input r32_o_3 ;
input r32_o_4 ;
output q_0 ;
output q_1 ;
output q_2 ;
output q_3 ;
output q_4 ;
input clk_c ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire q_0 ;
wire q_1 ;
wire q_2 ;
wire q_3 ;
wire q_4 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:18
  cyclone_lcell q_4__Z (
        .regout(q_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_4__Z.operation_mode="normal";
defparam q_4__Z.output_mode="reg_only";
defparam q_4__Z.lut_mask="ff00";
defparam q_4__Z.synch_mode="off";
defparam q_4__Z.sum_lutc_input="datac";
// @12:18
  cyclone_lcell q_3__Z (
        .regout(q_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_3__Z.operation_mode="normal";
defparam q_3__Z.output_mode="reg_only";
defparam q_3__Z.lut_mask="ff00";
defparam q_3__Z.synch_mode="off";
defparam q_3__Z.sum_lutc_input="datac";
// @12:18
  cyclone_lcell q_2__Z (
        .regout(q_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_2__Z.operation_mode="normal";
defparam q_2__Z.output_mode="reg_only";
defparam q_2__Z.lut_mask="ff00";
defparam q_2__Z.synch_mode="off";
defparam q_2__Z.sum_lutc_input="datac";
// @12:18
  cyclone_lcell q_1__Z (
        .regout(q_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_1__Z.operation_mode="normal";
defparam q_1__Z.output_mode="reg_only";
defparam q_1__Z.lut_mask="ff00";
defparam q_1__Z.synch_mode="off";
defparam q_1__Z.sum_lutc_input="datac";
// @12:18
  cyclone_lcell q_0__Z (
        .regout(q_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0__Z.operation_mode="normal";
defparam q_0__Z.output_mode="reg_only";
defparam q_0__Z.lut_mask="ff00";
defparam q_0__Z.synch_mode="off";
defparam q_0__Z.sum_lutc_input="datac";
endmodule /* fw_latch5_1 */

// VQM4.1+ 
module forward (
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_1,
  r32_o_0,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_5,
  wb_we_o_0_0,
  wb_we_o_0,
  q_0_4,
  r5_o_0_4,
  r5_o_0_2,
  r5_o_0_1,
  r5_o_0_0,
  r5_o_0_3,
  r5_o_4,
  r5_o_2,
  r5_o_1,
  r5_o_3,
  r5_o_0,
  clk_c,
  un32_mux_fw_0,
  un32_mux_fw_a,
  mux_fw_1_1,
  un32_mux_fw,
  un16_mux_fw_0,
  mux_fw_1_0,
  mux_fw_1,
  un17_mux_fw_NE_2,
  un17_mux_fw_NE_1,
  un1_mux_fw_NE,
  un17_mux_fw_NE,
  un30_mux_fw,
  un14_mux_fw
);
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
input r32_o_6 ;
input r32_o_7 ;
input r32_o_8 ;
input r32_o_9 ;
input r32_o_5 ;
input wb_we_o_0_0 ;
input wb_we_o_0 ;
output q_0_4 ;
input r5_o_0_4 ;
input r5_o_0_2 ;
input r5_o_0_1 ;
input r5_o_0_0 ;
input r5_o_0_3 ;
input r5_o_4 ;
input r5_o_2 ;
input r5_o_1 ;
input r5_o_3 ;
input r5_o_0 ;
input clk_c ;
output un32_mux_fw_0 ;
output un32_mux_fw_a ;
output mux_fw_1_1 ;
output un32_mux_fw ;
output un16_mux_fw_0 ;
output mux_fw_1_0 ;
output mux_fw_1 ;
output un17_mux_fw_NE_2 ;
output un17_mux_fw_NE_1 ;
output un1_mux_fw_NE ;
output un17_mux_fw_NE ;
output un30_mux_fw ;
output un14_mux_fw ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_5 ;
wire wb_we_o_0_0 ;
wire wb_we_o_0 ;
wire q_0_4 ;
wire r5_o_0_4 ;
wire r5_o_0_2 ;
wire r5_o_0_1 ;
wire r5_o_0_0 ;
wire r5_o_0_3 ;
wire r5_o_4 ;
wire r5_o_2 ;
wire r5_o_1 ;
wire r5_o_3 ;
wire r5_o_0 ;
wire clk_c ;
wire un32_mux_fw_0 ;
wire un32_mux_fw_a ;
wire mux_fw_1_1 ;
wire un32_mux_fw ;
wire un16_mux_fw_0 ;
wire mux_fw_1_0 ;
wire mux_fw_1 ;
wire un17_mux_fw_NE_2 ;
wire un17_mux_fw_NE_1 ;
wire un1_mux_fw_NE ;
wire un17_mux_fw_NE ;
wire un30_mux_fw ;
wire un14_mux_fw ;
wire [4:0] q;
wire [3:0] q_0;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @12:86
  forward_node_fw_alu_rs fw_alu_rs (
        .r5_o_0_0(r5_o_0),
        .r5_o_0_3(r5_o_3),
        .r5_o_0_1(r5_o_1),
        .r5_o_0_2(r5_o_2),
        .r5_o_0_4(r5_o_4),
        .q_2(q[2]),
        .q_3(q[3]),
        .q_0(q[0]),
        .q_1(q[1]),
        .q_4(q[4]),
        .r5_o_3(r5_o_0_3),
        .r5_o_0(r5_o_0_0),
        .r5_o_1(r5_o_0_1),
        .r5_o_2(r5_o_0_2),
        .r5_o_4(r5_o_0_4),
        .un14_mux_fw(un14_mux_fw),
        .un30_mux_fw(un30_mux_fw),
        .un17_mux_fw_NE(un17_mux_fw_NE),
        .un1_mux_fw_NE(un1_mux_fw_NE)
);
// @12:98
  forward_node_fw_alu_rs_1 fw_alu_rt (
        .r5_o_0_0(r5_o_0),
        .r5_o_0_1(r5_o_0_1),
        .r5_o_0_2(r5_o_0_2),
        .r5_o_0_3(r5_o_3),
        .r5_o_0(r5_o_0_0),
        .r5_o_1(r5_o_1),
        .r5_o_3(r5_o_0_3),
        .r5_o_2(r5_o_2),
        .r5_o_4(r5_o_0_4),
        .q_0(q_0[0]),
        .q_1(q_0[1]),
        .q_3(q_0[3]),
        .q_2(q_0[2]),
        .q_4(q_0_4),
        .wb_we_o_0(wb_we_o_0),
        .un17_mux_fw_NE_1(un17_mux_fw_NE_1),
        .un17_mux_fw_NE_2(un17_mux_fw_NE_2),
        .un14_mux_fw(un14_mux_fw),
        .mux_fw_1(mux_fw_1)
);
// @12:110
  forward_node_fw_alu_rs_2 fw_cmp_rs (
        .r5_o_0_0(r5_o_0),
        .r5_o_0_1(r5_o_1),
        .r5_o_0_2(r5_o_2),
        .r5_o_0_3(r5_o_0_3),
        .r5_o_0_4(r5_o_4),
        .wb_we_o_0_0(wb_we_o_0_0),
        .wb_we_o_0(wb_we_o_0),
        .r5_o_0(r5_o_0_0),
        .r5_o_4(r5_o_0_4),
        .r5_o_3(r5_o_3),
        .r5_o_2(r5_o_0_2),
        .r5_o_1(r5_o_0_1),
        .r32_o_0(r32_o_5),
        .r32_o_4(r32_o_9),
        .r32_o_3(r32_o_8),
        .r32_o_2(r32_o_7),
        .r32_o_1(r32_o_6),
        .un14_mux_fw(un14_mux_fw),
        .mux_fw_1(mux_fw_1_0),
        .un16_mux_fw_0(un16_mux_fw_0),
        .un30_mux_fw(un30_mux_fw),
        .un32_mux_fw(un32_mux_fw)
);
// @12:122
  forward_node_fw_alu_rs_3 fw_cmp_rt (
        .wb_we_o_0_0(wb_we_o_0),
        .r5_o_0_0(r5_o_0),
        .r5_o_0_1(r5_o_0_1),
        .r5_o_0_2(r5_o_0_2),
        .r5_o_0_3(r5_o_3),
        .r5_o_0_4(r5_o_0_4),
        .r5_o_0(r5_o_0_0),
        .r5_o_1(r5_o_1),
        .r5_o_2(r5_o_2),
        .r5_o_3(r5_o_0_3),
        .r5_o_4(r5_o_4),
        .r32_o_0(r32_o_0),
        .r32_o_1(r32_o_1),
        .r32_o_2(r32_o_2),
        .r32_o_3(r32_o_3),
        .r32_o_4(r32_o_4),
        .wb_we_o_0(wb_we_o_0_0),
        .un14_mux_fw(un14_mux_fw),
        .mux_fw_1(mux_fw_1_1),
        .un30_mux_fw(un30_mux_fw),
        .un32_mux_fw_a(un32_mux_fw_a),
        .un32_mux_fw(un32_mux_fw_0)
);
// @12:134
  fw_latch5 fw_reg_rns (
        .r32_o_0(r32_o_5),
        .r32_o_1(r32_o_6),
        .r32_o_2(r32_o_7),
        .r32_o_3(r32_o_8),
        .r32_o_4(r32_o_9),
        .q_0(q[0]),
        .q_1(q[1]),
        .q_2(q[2]),
        .q_3(q[3]),
        .q_4(q[4]),
        .clk_c(clk_c)
);
// @12:143
  fw_latch5_1 fw_reg_rnt (
        .r32_o_0(r32_o_0),
        .r32_o_1(r32_o_1),
        .r32_o_2(r32_o_2),
        .r32_o_3(r32_o_3),
        .r32_o_4(r32_o_4),
        .q_0(q_0[0]),
        .q_1(q_0[1]),
        .q_2(q_0[2]),
        .q_3(q_0[3]),
        .q_4(q_0_4),
        .clk_c(clk_c)
);
endmodule /* forward */

// VQM4.1+ 
module r32_reg_6 (
  pc_next_iv_0,
  pc_next_iv_0_a_0,
  pc_next_iv_0_0_0,
  pc_next_iv_a_0,
  pc_next_iv_a_1,
  pc_next_iv_a_2,
  pc_next_iv_a_3,
  pc_next_iv_a_4,
  pc_next_iv_a_5,
  pc_next_iv_a_6,
  pc_next_iv_a_7,
  pc_next_iv_a_8,
  pc_next_iv_a_9,
  pc_next_iv_a_10,
  pc_next_iv_a_11,
  pc_next_iv_a_12,
  pc_next_iv_a_13,
  pc_next_iv_a_14,
  pc_next_iv_a_15,
  pc_next_iv_a_17,
  pc_next_iv_a_18,
  pc_next_iv_a_19,
  pc_next_iv_a_20,
  pc_next_iv_a_21,
  pc_next_iv_a_22,
  pc_next_iv_a_23,
  pc_next_iv_a_24,
  pc_next_iv_a_25,
  pc_next_iv_a_26,
  pc_next_iv_a_27,
  pc_next_iv_a_28,
  pc_next_iv_a_29,
  pc_next_iv_a_30,
  pc_next_iv_a_31,
  pc_next_iv_0_0_d0,
  pc_next_iv_0_1,
  pc_next_iv_0_2,
  pc_next_iv_0_3,
  pc_next_iv_0_4,
  pc_next_iv_0_5,
  pc_next_iv_0_6,
  pc_next_iv_0_7,
  pc_next_iv_0_8,
  pc_next_iv_0_9,
  pc_next_iv_0_10,
  pc_next_iv_0_11,
  pc_next_iv_0_12,
  pc_next_iv_0_13,
  pc_next_iv_0_14,
  pc_next_iv_0_15,
  pc_next_iv_0_17,
  pc_next_iv_0_18,
  pc_next_iv_0_19,
  pc_next_iv_0_20,
  pc_next_iv_0_21,
  pc_next_iv_0_22,
  pc_next_iv_0_23,
  pc_next_iv_0_24,
  pc_next_iv_0_25,
  pc_next_iv_0_26,
  pc_next_iv_0_27,
  pc_next_iv_0_28,
  pc_next_iv_0_29,
  pc_next_iv_0_30,
  pc_next_iv_0_31,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  un1_pc_add0,
  un1_pc_add1,
  un1_pc_add2,
  un1_pc_add3,
  un1_pc_add4,
  un1_pc_add5,
  un1_pc_add6,
  un1_pc_add7,
  un1_pc_add8,
  un1_pc_add9,
  un1_pc_add10,
  un1_pc_add11,
  un1_pc_add12,
  un1_pc_add13,
  un1_pc_add14,
  un1_pc_add15,
  un1_pc_add16,
  un1_pc_add17,
  un1_pc_add18,
  un1_pc_add19,
  un1_pc_add20,
  un1_pc_add21,
  un1_pc_add22,
  un1_pc_add23,
  un1_pc_add24,
  un1_pc_add25,
  un1_pc_add26,
  un1_pc_add27,
  un1_pc_add28,
  un1_pc_add29,
  un1_pc_add30,
  un1_pc_add31,
  un1_pc_next46_0,
  clk_c
);
output pc_next_iv_0 ;
input pc_next_iv_0_a_0 ;
input pc_next_iv_0_0_0 ;
input pc_next_iv_a_0 ;
input pc_next_iv_a_1 ;
input pc_next_iv_a_2 ;
input pc_next_iv_a_3 ;
input pc_next_iv_a_4 ;
input pc_next_iv_a_5 ;
input pc_next_iv_a_6 ;
input pc_next_iv_a_7 ;
input pc_next_iv_a_8 ;
input pc_next_iv_a_9 ;
input pc_next_iv_a_10 ;
input pc_next_iv_a_11 ;
input pc_next_iv_a_12 ;
input pc_next_iv_a_13 ;
input pc_next_iv_a_14 ;
input pc_next_iv_a_15 ;
input pc_next_iv_a_17 ;
input pc_next_iv_a_18 ;
input pc_next_iv_a_19 ;
input pc_next_iv_a_20 ;
input pc_next_iv_a_21 ;
input pc_next_iv_a_22 ;
input pc_next_iv_a_23 ;
input pc_next_iv_a_24 ;
input pc_next_iv_a_25 ;
input pc_next_iv_a_26 ;
input pc_next_iv_a_27 ;
input pc_next_iv_a_28 ;
input pc_next_iv_a_29 ;
input pc_next_iv_a_30 ;
input pc_next_iv_a_31 ;
input pc_next_iv_0_0_d0 ;
input pc_next_iv_0_1 ;
input pc_next_iv_0_2 ;
input pc_next_iv_0_3 ;
input pc_next_iv_0_4 ;
input pc_next_iv_0_5 ;
input pc_next_iv_0_6 ;
input pc_next_iv_0_7 ;
input pc_next_iv_0_8 ;
input pc_next_iv_0_9 ;
input pc_next_iv_0_10 ;
input pc_next_iv_0_11 ;
input pc_next_iv_0_12 ;
input pc_next_iv_0_13 ;
input pc_next_iv_0_14 ;
input pc_next_iv_0_15 ;
input pc_next_iv_0_17 ;
input pc_next_iv_0_18 ;
input pc_next_iv_0_19 ;
input pc_next_iv_0_20 ;
input pc_next_iv_0_21 ;
input pc_next_iv_0_22 ;
input pc_next_iv_0_23 ;
input pc_next_iv_0_24 ;
input pc_next_iv_0_25 ;
input pc_next_iv_0_26 ;
input pc_next_iv_0_27 ;
input pc_next_iv_0_28 ;
input pc_next_iv_0_29 ;
input pc_next_iv_0_30 ;
input pc_next_iv_0_31 ;
output r32_o_0 ;
output r32_o_1 ;
output r32_o_2 ;
output r32_o_3 ;
output r32_o_4 ;
output r32_o_5 ;
output r32_o_6 ;
output r32_o_7 ;
output r32_o_8 ;
output r32_o_9 ;
output r32_o_10 ;
output r32_o_11 ;
output r32_o_12 ;
output r32_o_13 ;
output r32_o_14 ;
output r32_o_15 ;
output r32_o_16 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_30 ;
output r32_o_31 ;
input un1_pc_add0 ;
input un1_pc_add1 ;
input un1_pc_add2 ;
input un1_pc_add3 ;
input un1_pc_add4 ;
input un1_pc_add5 ;
input un1_pc_add6 ;
input un1_pc_add7 ;
input un1_pc_add8 ;
input un1_pc_add9 ;
input un1_pc_add10 ;
input un1_pc_add11 ;
input un1_pc_add12 ;
input un1_pc_add13 ;
input un1_pc_add14 ;
input un1_pc_add15 ;
input un1_pc_add16 ;
input un1_pc_add17 ;
input un1_pc_add18 ;
input un1_pc_add19 ;
input un1_pc_add20 ;
input un1_pc_add21 ;
input un1_pc_add22 ;
input un1_pc_add23 ;
input un1_pc_add24 ;
input un1_pc_add25 ;
input un1_pc_add26 ;
input un1_pc_add27 ;
input un1_pc_add28 ;
input un1_pc_add29 ;
input un1_pc_add30 ;
input un1_pc_add31 ;
input un1_pc_next46_0 ;
input clk_c ;
wire pc_next_iv_0 ;
wire pc_next_iv_0_a_0 ;
wire pc_next_iv_0_0_0 ;
wire pc_next_iv_a_0 ;
wire pc_next_iv_a_1 ;
wire pc_next_iv_a_2 ;
wire pc_next_iv_a_3 ;
wire pc_next_iv_a_4 ;
wire pc_next_iv_a_5 ;
wire pc_next_iv_a_6 ;
wire pc_next_iv_a_7 ;
wire pc_next_iv_a_8 ;
wire pc_next_iv_a_9 ;
wire pc_next_iv_a_10 ;
wire pc_next_iv_a_11 ;
wire pc_next_iv_a_12 ;
wire pc_next_iv_a_13 ;
wire pc_next_iv_a_14 ;
wire pc_next_iv_a_15 ;
wire pc_next_iv_a_17 ;
wire pc_next_iv_a_18 ;
wire pc_next_iv_a_19 ;
wire pc_next_iv_a_20 ;
wire pc_next_iv_a_21 ;
wire pc_next_iv_a_22 ;
wire pc_next_iv_a_23 ;
wire pc_next_iv_a_24 ;
wire pc_next_iv_a_25 ;
wire pc_next_iv_a_26 ;
wire pc_next_iv_a_27 ;
wire pc_next_iv_a_28 ;
wire pc_next_iv_a_29 ;
wire pc_next_iv_a_30 ;
wire pc_next_iv_a_31 ;
wire pc_next_iv_0_0_d0 ;
wire pc_next_iv_0_1 ;
wire pc_next_iv_0_2 ;
wire pc_next_iv_0_3 ;
wire pc_next_iv_0_4 ;
wire pc_next_iv_0_5 ;
wire pc_next_iv_0_6 ;
wire pc_next_iv_0_7 ;
wire pc_next_iv_0_8 ;
wire pc_next_iv_0_9 ;
wire pc_next_iv_0_10 ;
wire pc_next_iv_0_11 ;
wire pc_next_iv_0_12 ;
wire pc_next_iv_0_13 ;
wire pc_next_iv_0_14 ;
wire pc_next_iv_0_15 ;
wire pc_next_iv_0_17 ;
wire pc_next_iv_0_18 ;
wire pc_next_iv_0_19 ;
wire pc_next_iv_0_20 ;
wire pc_next_iv_0_21 ;
wire pc_next_iv_0_22 ;
wire pc_next_iv_0_23 ;
wire pc_next_iv_0_24 ;
wire pc_next_iv_0_25 ;
wire pc_next_iv_0_26 ;
wire pc_next_iv_0_27 ;
wire pc_next_iv_0_28 ;
wire pc_next_iv_0_29 ;
wire pc_next_iv_0_30 ;
wire pc_next_iv_0_31 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire un1_pc_add0 ;
wire un1_pc_add1 ;
wire un1_pc_add2 ;
wire un1_pc_add3 ;
wire un1_pc_add4 ;
wire un1_pc_add5 ;
wire un1_pc_add6 ;
wire un1_pc_add7 ;
wire un1_pc_add8 ;
wire un1_pc_add9 ;
wire un1_pc_add10 ;
wire un1_pc_add11 ;
wire un1_pc_add12 ;
wire un1_pc_add13 ;
wire un1_pc_add14 ;
wire un1_pc_add15 ;
wire un1_pc_add16 ;
wire un1_pc_add17 ;
wire un1_pc_add18 ;
wire un1_pc_add19 ;
wire un1_pc_add20 ;
wire un1_pc_add21 ;
wire un1_pc_add22 ;
wire un1_pc_add23 ;
wire un1_pc_add24 ;
wire un1_pc_add25 ;
wire un1_pc_add26 ;
wire un1_pc_add27 ;
wire un1_pc_add28 ;
wire un1_pc_add29 ;
wire un1_pc_add30 ;
wire un1_pc_add31 ;
wire un1_pc_next46_0 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_31__Z (
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(pc_next_iv_0_31),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_31),
        .datad(un1_pc_add31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_only";
defparam r32_o_31__Z.lut_mask="efaf";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(pc_next_iv_0_30),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_30),
        .datad(un1_pc_add30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_only";
defparam r32_o_30__Z.lut_mask="efaf";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(pc_next_iv_0_29),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_29),
        .datad(un1_pc_add29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_only";
defparam r32_o_29__Z.lut_mask="efaf";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(pc_next_iv_0_28),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_28),
        .datad(un1_pc_add28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_only";
defparam r32_o_28__Z.lut_mask="efaf";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(pc_next_iv_0_27),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_27),
        .datad(un1_pc_add27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_only";
defparam r32_o_27__Z.lut_mask="efaf";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(pc_next_iv_0_26),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_26),
        .datad(un1_pc_add26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_only";
defparam r32_o_26__Z.lut_mask="efaf";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(pc_next_iv_0_25),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_25),
        .datad(un1_pc_add25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_only";
defparam r32_o_25__Z.lut_mask="efaf";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(pc_next_iv_0_24),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_24),
        .datad(un1_pc_add24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_only";
defparam r32_o_24__Z.lut_mask="efaf";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(pc_next_iv_0_23),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_23),
        .datad(un1_pc_add23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_only";
defparam r32_o_23__Z.lut_mask="efaf";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(pc_next_iv_0_22),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_22),
        .datad(un1_pc_add22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_only";
defparam r32_o_22__Z.lut_mask="efaf";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(pc_next_iv_0_21),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_21),
        .datad(un1_pc_add21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_only";
defparam r32_o_21__Z.lut_mask="efaf";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(pc_next_iv_0_20),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_20),
        .datad(un1_pc_add20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_only";
defparam r32_o_20__Z.lut_mask="efaf";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(pc_next_iv_0_19),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_19),
        .datad(un1_pc_add19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_only";
defparam r32_o_19__Z.lut_mask="efaf";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(pc_next_iv_0_18),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_18),
        .datad(un1_pc_add18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_only";
defparam r32_o_18__Z.lut_mask="efaf";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(pc_next_iv_0_17),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_17),
        .datad(un1_pc_add17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_only";
defparam r32_o_17__Z.lut_mask="efaf";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(pc_next_iv_0_0_0),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_0_a_0),
        .datad(un1_pc_add16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_only";
defparam r32_o_16__Z.lut_mask="efaf";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(pc_next_iv_0_15),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_15),
        .datad(un1_pc_add15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_only";
defparam r32_o_15__Z.lut_mask="efaf";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(pc_next_iv_0_14),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_14),
        .datad(un1_pc_add14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_only";
defparam r32_o_14__Z.lut_mask="efaf";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(pc_next_iv_0_13),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_13),
        .datad(un1_pc_add13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_only";
defparam r32_o_13__Z.lut_mask="efaf";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(pc_next_iv_0_12),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_12),
        .datad(un1_pc_add12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_only";
defparam r32_o_12__Z.lut_mask="efaf";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(pc_next_iv_0_11),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_11),
        .datad(un1_pc_add11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_only";
defparam r32_o_11__Z.lut_mask="efaf";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(pc_next_iv_0_10),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_10),
        .datad(un1_pc_add10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_only";
defparam r32_o_10__Z.lut_mask="efaf";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(pc_next_iv_0_9),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_9),
        .datad(un1_pc_add9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_only";
defparam r32_o_9__Z.lut_mask="efaf";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(pc_next_iv_0_8),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_8),
        .datad(un1_pc_add8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_only";
defparam r32_o_8__Z.lut_mask="efaf";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(pc_next_iv_0_7),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_7),
        .datad(un1_pc_add7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_only";
defparam r32_o_7__Z.lut_mask="efaf";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(pc_next_iv_0_6),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_6),
        .datad(un1_pc_add6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_only";
defparam r32_o_6__Z.lut_mask="efaf";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(pc_next_iv_0_5),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_5),
        .datad(un1_pc_add5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_only";
defparam r32_o_5__Z.lut_mask="efaf";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(pc_next_iv_0_4),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_4),
        .datad(un1_pc_add4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_only";
defparam r32_o_4__Z.lut_mask="efaf";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(pc_next_iv_0_3),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_3),
        .datad(un1_pc_add3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_only";
defparam r32_o_3__Z.lut_mask="efaf";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(pc_next_iv_0_2),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_2),
        .datad(un1_pc_add2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_only";
defparam r32_o_2__Z.lut_mask="efaf";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(pc_next_iv_0_1),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_1),
        .datad(un1_pc_add1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_only";
defparam r32_o_1__Z.lut_mask="efaf";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_0__Z (
        .combout(pc_next_iv_0),
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(pc_next_iv_0_0_d0),
        .datab(un1_pc_next46_0),
        .datac(pc_next_iv_a_0),
        .datad(un1_pc_add0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_and_comb";
defparam r32_o_0__Z.lut_mask="efaf";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_6 */

// VQM4.1+ 
module r5_reg (
  rd_sel_o_1,
  rd_sel_o_0,
  r32_o_5,
  r32_o_0,
  r32_o_6,
  r32_o_1,
  r32_o_7,
  r32_o_2,
  r32_o_8,
  r32_o_3,
  r32_o_9,
  r32_o_4,
  r5_o_0,
  r5_o_1,
  r5_o_2,
  r5_o_3,
  r5_o_4,
  clk_c
);
input rd_sel_o_1 ;
input rd_sel_o_0 ;
input r32_o_5 ;
input r32_o_0 ;
input r32_o_6 ;
input r32_o_1 ;
input r32_o_7 ;
input r32_o_2 ;
input r32_o_8 ;
input r32_o_3 ;
input r32_o_9 ;
input r32_o_4 ;
output r5_o_0 ;
output r5_o_1 ;
output r5_o_2 ;
output r5_o_3 ;
output r5_o_4 ;
input clk_c ;
wire rd_sel_o_1 ;
wire rd_sel_o_0 ;
wire r32_o_5 ;
wire r32_o_0 ;
wire r32_o_6 ;
wire r32_o_1 ;
wire r32_o_7 ;
wire r32_o_2 ;
wire r32_o_8 ;
wire r32_o_3 ;
wire r32_o_9 ;
wire r32_o_4 ;
wire r5_o_0 ;
wire r5_o_1 ;
wire r5_o_2 ;
wire r5_o_3 ;
wire r5_o_4 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:161
  cyclone_lcell r5_o_4__Z (
        .regout(r5_o_4),
        .clk(clk_c),
        .dataa(r32_o_4),
        .datab(r32_o_9),
        .datac(rd_sel_o_0),
        .datad(rd_sel_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_4__Z.operation_mode="normal";
defparam r5_o_4__Z.output_mode="reg_only";
defparam r5_o_4__Z.lut_mask="fca0";
defparam r5_o_4__Z.synch_mode="off";
defparam r5_o_4__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_3__Z (
        .regout(r5_o_3),
        .clk(clk_c),
        .dataa(r32_o_3),
        .datab(r32_o_8),
        .datac(rd_sel_o_0),
        .datad(rd_sel_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_3__Z.operation_mode="normal";
defparam r5_o_3__Z.output_mode="reg_only";
defparam r5_o_3__Z.lut_mask="fca0";
defparam r5_o_3__Z.synch_mode="off";
defparam r5_o_3__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_2__Z (
        .regout(r5_o_2),
        .clk(clk_c),
        .dataa(r32_o_2),
        .datab(r32_o_7),
        .datac(rd_sel_o_0),
        .datad(rd_sel_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_2__Z.operation_mode="normal";
defparam r5_o_2__Z.output_mode="reg_only";
defparam r5_o_2__Z.lut_mask="fca0";
defparam r5_o_2__Z.synch_mode="off";
defparam r5_o_2__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_1__Z (
        .regout(r5_o_1),
        .clk(clk_c),
        .dataa(r32_o_1),
        .datab(r32_o_6),
        .datac(rd_sel_o_0),
        .datad(rd_sel_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_1__Z.operation_mode="normal";
defparam r5_o_1__Z.output_mode="reg_only";
defparam r5_o_1__Z.lut_mask="fca0";
defparam r5_o_1__Z.synch_mode="off";
defparam r5_o_1__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_0__Z (
        .regout(r5_o_0),
        .clk(clk_c),
        .dataa(r32_o_0),
        .datab(r32_o_5),
        .datac(rd_sel_o_0),
        .datad(rd_sel_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_0__Z.operation_mode="normal";
defparam r5_o_0__Z.output_mode="reg_only";
defparam r5_o_0__Z.lut_mask="fca0";
defparam r5_o_0__Z.synch_mode="off";
defparam r5_o_0__Z.sum_lutc_input="datac";
endmodule /* r5_reg */

// VQM4.1+ 
module r5_reg_1 (
  r5_o_0_0,
  r5_o_0_1,
  r5_o_0_2,
  r5_o_0_3,
  r5_o_0_4,
  r5_o_0,
  r5_o_1,
  r5_o_2,
  r5_o_3,
  r5_o_4,
  clk_c
);
input r5_o_0_0 ;
input r5_o_0_1 ;
input r5_o_0_2 ;
input r5_o_0_3 ;
input r5_o_0_4 ;
output r5_o_0 ;
output r5_o_1 ;
output r5_o_2 ;
output r5_o_3 ;
output r5_o_4 ;
input clk_c ;
wire r5_o_0_0 ;
wire r5_o_0_1 ;
wire r5_o_0_2 ;
wire r5_o_0_3 ;
wire r5_o_0_4 ;
wire r5_o_0 ;
wire r5_o_1 ;
wire r5_o_2 ;
wire r5_o_3 ;
wire r5_o_4 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:161
  cyclone_lcell r5_o_4__Z (
        .regout(r5_o_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_4__Z.operation_mode="normal";
defparam r5_o_4__Z.output_mode="reg_only";
defparam r5_o_4__Z.lut_mask="ff00";
defparam r5_o_4__Z.synch_mode="off";
defparam r5_o_4__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_3__Z (
        .regout(r5_o_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_3__Z.operation_mode="normal";
defparam r5_o_3__Z.output_mode="reg_only";
defparam r5_o_3__Z.lut_mask="ff00";
defparam r5_o_3__Z.synch_mode="off";
defparam r5_o_3__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_2__Z (
        .regout(r5_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_2__Z.operation_mode="normal";
defparam r5_o_2__Z.output_mode="reg_only";
defparam r5_o_2__Z.lut_mask="ff00";
defparam r5_o_2__Z.synch_mode="off";
defparam r5_o_2__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_1__Z (
        .regout(r5_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_1__Z.operation_mode="normal";
defparam r5_o_1__Z.output_mode="reg_only";
defparam r5_o_1__Z.lut_mask="ff00";
defparam r5_o_1__Z.synch_mode="off";
defparam r5_o_1__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_0__Z (
        .regout(r5_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_0__Z.operation_mode="normal";
defparam r5_o_0__Z.output_mode="reg_only";
defparam r5_o_0__Z.lut_mask="ff00";
defparam r5_o_0__Z.synch_mode="off";
defparam r5_o_0__Z.sum_lutc_input="datac";
endmodule /* r5_reg_1 */

// VQM4.1+ 
module r5_reg_2 (
  r5_o_0_0,
  r5_o_0_1,
  r5_o_0_2,
  r5_o_0_3,
  r5_o_0_4,
  r5_o_0,
  r5_o_1,
  r5_o_2,
  r5_o_3,
  r5_o_4,
  clk_c
);
input r5_o_0_0 ;
input r5_o_0_1 ;
input r5_o_0_2 ;
input r5_o_0_3 ;
input r5_o_0_4 ;
output r5_o_0 ;
output r5_o_1 ;
output r5_o_2 ;
output r5_o_3 ;
output r5_o_4 ;
input clk_c ;
wire r5_o_0_0 ;
wire r5_o_0_1 ;
wire r5_o_0_2 ;
wire r5_o_0_3 ;
wire r5_o_0_4 ;
wire r5_o_0 ;
wire r5_o_1 ;
wire r5_o_2 ;
wire r5_o_3 ;
wire r5_o_4 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:161
  cyclone_lcell r5_o_4__Z (
        .regout(r5_o_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_4__Z.operation_mode="normal";
defparam r5_o_4__Z.output_mode="reg_only";
defparam r5_o_4__Z.lut_mask="ff00";
defparam r5_o_4__Z.synch_mode="off";
defparam r5_o_4__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_3__Z (
        .regout(r5_o_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_3__Z.operation_mode="normal";
defparam r5_o_3__Z.output_mode="reg_only";
defparam r5_o_3__Z.lut_mask="ff00";
defparam r5_o_3__Z.synch_mode="off";
defparam r5_o_3__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_2__Z (
        .regout(r5_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_2__Z.operation_mode="normal";
defparam r5_o_2__Z.output_mode="reg_only";
defparam r5_o_2__Z.lut_mask="ff00";
defparam r5_o_2__Z.synch_mode="off";
defparam r5_o_2__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_1__Z (
        .regout(r5_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_1__Z.operation_mode="normal";
defparam r5_o_1__Z.output_mode="reg_only";
defparam r5_o_1__Z.lut_mask="ff00";
defparam r5_o_1__Z.synch_mode="off";
defparam r5_o_1__Z.sum_lutc_input="datac";
// @18:161
  cyclone_lcell r5_o_0__Z (
        .regout(r5_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r5_o_0_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r5_o_0__Z.operation_mode="normal";
defparam r5_o_0__Z.output_mode="reg_only";
defparam r5_o_0__Z.lut_mask="ff00";
defparam r5_o_0__Z.synch_mode="off";
defparam r5_o_0__Z.sum_lutc_input="datac";
endmodule /* r5_reg_2 */

// VQM4.1+ 
module r32_reg_7 (
  dout_iv_1_0,
  dout_iv_1_1,
  dout_iv_1_2,
  dout_iv_1_3,
  dout_iv_1_4,
  dout_iv_1_5,
  dout_iv_1_6,
  dout_iv_1_7,
  dout_iv_1_8,
  dout_iv_1_9,
  dout_iv_1_10,
  dout_iv_1_11,
  dout_iv_1_12,
  dout_iv_1_13,
  dout_iv_1_14,
  dout_iv_1_15,
  dout_iv_1_16,
  dout_iv_1_17,
  dout_iv_1_18,
  dout_iv_1_19,
  dout_iv_1_20,
  dout_iv_1_21,
  dout_iv_1_22,
  dout_iv_1_23,
  dout_iv_1_24,
  dout_iv_1_25,
  dout_iv_1_26,
  dout_iv_1_27,
  dout_iv_1_28,
  dout_iv_1_29,
  dout_iv_1_30,
  reg_bank_m_0,
  dout_iv_a_0,
  wb_o_0,
  wb_o_1,
  wb_o_2,
  wb_o_3,
  wb_o_4,
  wb_o_5,
  wb_o_6,
  wb_o_7,
  wb_o_8,
  wb_o_9,
  wb_o_10,
  wb_o_11,
  wb_o_12,
  wb_o_13,
  wb_o_14,
  wb_o_15,
  wb_o_16,
  wb_o_17,
  wb_o_18,
  wb_o_19,
  wb_o_20,
  wb_o_21,
  wb_o_22,
  wb_o_23,
  wb_o_24,
  wb_o_25,
  wb_o_26,
  wb_o_27,
  wb_o_28,
  wb_o_29,
  wb_o_30,
  wb_o_31,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  dout7_0_a2_0_a2_0_a2_0_a2,
  clk_c
);
input dout_iv_1_0 ;
input dout_iv_1_1 ;
input dout_iv_1_2 ;
input dout_iv_1_3 ;
input dout_iv_1_4 ;
input dout_iv_1_5 ;
input dout_iv_1_6 ;
input dout_iv_1_7 ;
input dout_iv_1_8 ;
input dout_iv_1_9 ;
input dout_iv_1_10 ;
input dout_iv_1_11 ;
input dout_iv_1_12 ;
input dout_iv_1_13 ;
input dout_iv_1_14 ;
input dout_iv_1_15 ;
input dout_iv_1_16 ;
input dout_iv_1_17 ;
input dout_iv_1_18 ;
input dout_iv_1_19 ;
input dout_iv_1_20 ;
input dout_iv_1_21 ;
input dout_iv_1_22 ;
input dout_iv_1_23 ;
input dout_iv_1_24 ;
input dout_iv_1_25 ;
input dout_iv_1_26 ;
input dout_iv_1_27 ;
input dout_iv_1_28 ;
input dout_iv_1_29 ;
input dout_iv_1_30 ;
input reg_bank_m_0 ;
input dout_iv_a_0 ;
input wb_o_0 ;
input wb_o_1 ;
input wb_o_2 ;
input wb_o_3 ;
input wb_o_4 ;
input wb_o_5 ;
input wb_o_6 ;
input wb_o_7 ;
input wb_o_8 ;
input wb_o_9 ;
input wb_o_10 ;
input wb_o_11 ;
input wb_o_12 ;
input wb_o_13 ;
input wb_o_14 ;
input wb_o_15 ;
input wb_o_16 ;
input wb_o_17 ;
input wb_o_18 ;
input wb_o_19 ;
input wb_o_20 ;
input wb_o_21 ;
input wb_o_22 ;
input wb_o_23 ;
input wb_o_24 ;
input wb_o_25 ;
input wb_o_26 ;
input wb_o_27 ;
input wb_o_28 ;
input wb_o_29 ;
input wb_o_30 ;
input wb_o_31 ;
output r32_o_0 ;
output r32_o_1 ;
output r32_o_2 ;
output r32_o_3 ;
output r32_o_4 ;
output r32_o_5 ;
output r32_o_6 ;
output r32_o_7 ;
output r32_o_8 ;
output r32_o_9 ;
output r32_o_10 ;
output r32_o_11 ;
output r32_o_12 ;
output r32_o_13 ;
output r32_o_14 ;
output r32_o_15 ;
output r32_o_16 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_30 ;
output r32_o_31 ;
input dout7_0_a2_0_a2_0_a2_0_a2 ;
input clk_c ;
wire dout_iv_1_0 ;
wire dout_iv_1_1 ;
wire dout_iv_1_2 ;
wire dout_iv_1_3 ;
wire dout_iv_1_4 ;
wire dout_iv_1_5 ;
wire dout_iv_1_6 ;
wire dout_iv_1_7 ;
wire dout_iv_1_8 ;
wire dout_iv_1_9 ;
wire dout_iv_1_10 ;
wire dout_iv_1_11 ;
wire dout_iv_1_12 ;
wire dout_iv_1_13 ;
wire dout_iv_1_14 ;
wire dout_iv_1_15 ;
wire dout_iv_1_16 ;
wire dout_iv_1_17 ;
wire dout_iv_1_18 ;
wire dout_iv_1_19 ;
wire dout_iv_1_20 ;
wire dout_iv_1_21 ;
wire dout_iv_1_22 ;
wire dout_iv_1_23 ;
wire dout_iv_1_24 ;
wire dout_iv_1_25 ;
wire dout_iv_1_26 ;
wire dout_iv_1_27 ;
wire dout_iv_1_28 ;
wire dout_iv_1_29 ;
wire dout_iv_1_30 ;
wire reg_bank_m_0 ;
wire dout_iv_a_0 ;
wire wb_o_0 ;
wire wb_o_1 ;
wire wb_o_2 ;
wire wb_o_3 ;
wire wb_o_4 ;
wire wb_o_5 ;
wire wb_o_6 ;
wire wb_o_7 ;
wire wb_o_8 ;
wire wb_o_9 ;
wire wb_o_10 ;
wire wb_o_11 ;
wire wb_o_12 ;
wire wb_o_13 ;
wire wb_o_14 ;
wire wb_o_15 ;
wire wb_o_16 ;
wire wb_o_17 ;
wire wb_o_18 ;
wire wb_o_19 ;
wire wb_o_20 ;
wire wb_o_21 ;
wire wb_o_22 ;
wire wb_o_23 ;
wire wb_o_24 ;
wire wb_o_25 ;
wire wb_o_26 ;
wire wb_o_27 ;
wire wb_o_28 ;
wire wb_o_29 ;
wire wb_o_30 ;
wire wb_o_31 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire dout7_0_a2_0_a2_0_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_31__Z (
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(wb_o_31),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_a_0),
        .datad(reg_bank_m_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_only";
defparam r32_o_31__Z.lut_mask="ff8f";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(wb_o_30),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_30),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_only";
defparam r32_o_30__Z.lut_mask="f8f8";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(wb_o_29),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_29),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_only";
defparam r32_o_29__Z.lut_mask="f8f8";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(wb_o_28),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_28),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_only";
defparam r32_o_28__Z.lut_mask="f8f8";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(wb_o_27),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_27),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_only";
defparam r32_o_27__Z.lut_mask="f8f8";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(wb_o_26),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_26),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_only";
defparam r32_o_26__Z.lut_mask="f8f8";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_25),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_only";
defparam r32_o_25__Z.lut_mask="ffc0";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_24),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_only";
defparam r32_o_24__Z.lut_mask="ffc0";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(wb_o_23),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_23),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_only";
defparam r32_o_23__Z.lut_mask="f8f8";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_22),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_only";
defparam r32_o_22__Z.lut_mask="ffc0";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_21),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_only";
defparam r32_o_21__Z.lut_mask="ffc0";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_20),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_only";
defparam r32_o_20__Z.lut_mask="ffc0";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(wb_o_19),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_19),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_only";
defparam r32_o_19__Z.lut_mask="f8f8";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(wb_o_18),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_18),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_only";
defparam r32_o_18__Z.lut_mask="f8f8";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_17),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_only";
defparam r32_o_17__Z.lut_mask="ffc0";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(wb_o_16),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_16),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_only";
defparam r32_o_16__Z.lut_mask="f8f8";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_15),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_only";
defparam r32_o_15__Z.lut_mask="ffc0";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_14),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_only";
defparam r32_o_14__Z.lut_mask="ffc0";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_13),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_only";
defparam r32_o_13__Z.lut_mask="ffc0";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_12),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_only";
defparam r32_o_12__Z.lut_mask="ffc0";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_11),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_only";
defparam r32_o_11__Z.lut_mask="ffc0";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_10),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_only";
defparam r32_o_10__Z.lut_mask="ffc0";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_9),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_only";
defparam r32_o_9__Z.lut_mask="ffc0";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_8),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_only";
defparam r32_o_8__Z.lut_mask="ffc0";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_7),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_only";
defparam r32_o_7__Z.lut_mask="ffc0";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_6),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_only";
defparam r32_o_6__Z.lut_mask="ffc0";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_5),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_only";
defparam r32_o_5__Z.lut_mask="ffc0";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_4),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_only";
defparam r32_o_4__Z.lut_mask="ffc0";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_3),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_only";
defparam r32_o_3__Z.lut_mask="ffc0";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_2),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_only";
defparam r32_o_2__Z.lut_mask="ffc0";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_1),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_only";
defparam r32_o_1__Z.lut_mask="ffc0";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_0__Z (
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_0),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_only";
defparam r32_o_0__Z.lut_mask="ffc0";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_7 */

// VQM4.1+ 
module r32_reg_8 (
  dout_iv_1_0,
  dout_iv_1_1,
  dout_iv_1_2,
  dout_iv_1_3,
  dout_iv_1_4,
  dout_iv_1_5,
  dout_iv_1_6,
  dout_iv_1_7,
  dout_iv_1_8,
  dout_iv_1_9,
  dout_iv_1_10,
  dout_iv_1_11,
  dout_iv_1_12,
  dout_iv_1_13,
  dout_iv_1_14,
  dout_iv_1_15,
  dout_iv_1_16,
  dout_iv_1_17,
  dout_iv_1_18,
  dout_iv_1_19,
  dout_iv_1_20,
  dout_iv_1_21,
  dout_iv_1_22,
  dout_iv_1_23,
  dout_iv_1_24,
  dout_iv_1_25,
  dout_iv_1_26,
  dout_iv_1_27,
  dout_iv_1_28,
  dout_iv_1_29,
  dout_iv_1_30,
  dout_iv_1_31,
  wb_o_0,
  wb_o_1,
  wb_o_2,
  wb_o_3,
  wb_o_4,
  wb_o_5,
  wb_o_6,
  wb_o_7,
  wb_o_8,
  wb_o_9,
  wb_o_10,
  wb_o_11,
  wb_o_12,
  wb_o_13,
  wb_o_14,
  wb_o_15,
  wb_o_16,
  wb_o_17,
  wb_o_18,
  wb_o_19,
  wb_o_20,
  wb_o_21,
  wb_o_22,
  wb_o_23,
  wb_o_24,
  wb_o_25,
  wb_o_26,
  wb_o_27,
  wb_o_28,
  wb_o_29,
  wb_o_30,
  wb_o_31,
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  r32_o_8,
  r32_o_9,
  r32_o_10,
  r32_o_11,
  r32_o_12,
  r32_o_13,
  r32_o_14,
  r32_o_15,
  r32_o_16,
  r32_o_17,
  r32_o_18,
  r32_o_19,
  r32_o_20,
  r32_o_21,
  r32_o_22,
  r32_o_23,
  r32_o_24,
  r32_o_25,
  r32_o_26,
  r32_o_27,
  r32_o_28,
  r32_o_29,
  r32_o_30,
  r32_o_31,
  dout7_0_a2_0_a2_0_a2_0_a2,
  clk_c
);
input dout_iv_1_0 ;
input dout_iv_1_1 ;
input dout_iv_1_2 ;
input dout_iv_1_3 ;
input dout_iv_1_4 ;
input dout_iv_1_5 ;
input dout_iv_1_6 ;
input dout_iv_1_7 ;
input dout_iv_1_8 ;
input dout_iv_1_9 ;
input dout_iv_1_10 ;
input dout_iv_1_11 ;
input dout_iv_1_12 ;
input dout_iv_1_13 ;
input dout_iv_1_14 ;
input dout_iv_1_15 ;
input dout_iv_1_16 ;
input dout_iv_1_17 ;
input dout_iv_1_18 ;
input dout_iv_1_19 ;
input dout_iv_1_20 ;
input dout_iv_1_21 ;
input dout_iv_1_22 ;
input dout_iv_1_23 ;
input dout_iv_1_24 ;
input dout_iv_1_25 ;
input dout_iv_1_26 ;
input dout_iv_1_27 ;
input dout_iv_1_28 ;
input dout_iv_1_29 ;
input dout_iv_1_30 ;
input dout_iv_1_31 ;
input wb_o_0 ;
input wb_o_1 ;
input wb_o_2 ;
input wb_o_3 ;
input wb_o_4 ;
input wb_o_5 ;
input wb_o_6 ;
input wb_o_7 ;
input wb_o_8 ;
input wb_o_9 ;
input wb_o_10 ;
input wb_o_11 ;
input wb_o_12 ;
input wb_o_13 ;
input wb_o_14 ;
input wb_o_15 ;
input wb_o_16 ;
input wb_o_17 ;
input wb_o_18 ;
input wb_o_19 ;
input wb_o_20 ;
input wb_o_21 ;
input wb_o_22 ;
input wb_o_23 ;
input wb_o_24 ;
input wb_o_25 ;
input wb_o_26 ;
input wb_o_27 ;
input wb_o_28 ;
input wb_o_29 ;
input wb_o_30 ;
input wb_o_31 ;
output r32_o_0 ;
output r32_o_1 ;
output r32_o_2 ;
output r32_o_3 ;
output r32_o_4 ;
output r32_o_5 ;
output r32_o_6 ;
output r32_o_7 ;
output r32_o_8 ;
output r32_o_9 ;
output r32_o_10 ;
output r32_o_11 ;
output r32_o_12 ;
output r32_o_13 ;
output r32_o_14 ;
output r32_o_15 ;
output r32_o_16 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_19 ;
output r32_o_20 ;
output r32_o_21 ;
output r32_o_22 ;
output r32_o_23 ;
output r32_o_24 ;
output r32_o_25 ;
output r32_o_26 ;
output r32_o_27 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_30 ;
output r32_o_31 ;
input dout7_0_a2_0_a2_0_a2_0_a2 ;
input clk_c ;
wire dout_iv_1_0 ;
wire dout_iv_1_1 ;
wire dout_iv_1_2 ;
wire dout_iv_1_3 ;
wire dout_iv_1_4 ;
wire dout_iv_1_5 ;
wire dout_iv_1_6 ;
wire dout_iv_1_7 ;
wire dout_iv_1_8 ;
wire dout_iv_1_9 ;
wire dout_iv_1_10 ;
wire dout_iv_1_11 ;
wire dout_iv_1_12 ;
wire dout_iv_1_13 ;
wire dout_iv_1_14 ;
wire dout_iv_1_15 ;
wire dout_iv_1_16 ;
wire dout_iv_1_17 ;
wire dout_iv_1_18 ;
wire dout_iv_1_19 ;
wire dout_iv_1_20 ;
wire dout_iv_1_21 ;
wire dout_iv_1_22 ;
wire dout_iv_1_23 ;
wire dout_iv_1_24 ;
wire dout_iv_1_25 ;
wire dout_iv_1_26 ;
wire dout_iv_1_27 ;
wire dout_iv_1_28 ;
wire dout_iv_1_29 ;
wire dout_iv_1_30 ;
wire dout_iv_1_31 ;
wire wb_o_0 ;
wire wb_o_1 ;
wire wb_o_2 ;
wire wb_o_3 ;
wire wb_o_4 ;
wire wb_o_5 ;
wire wb_o_6 ;
wire wb_o_7 ;
wire wb_o_8 ;
wire wb_o_9 ;
wire wb_o_10 ;
wire wb_o_11 ;
wire wb_o_12 ;
wire wb_o_13 ;
wire wb_o_14 ;
wire wb_o_15 ;
wire wb_o_16 ;
wire wb_o_17 ;
wire wb_o_18 ;
wire wb_o_19 ;
wire wb_o_20 ;
wire wb_o_21 ;
wire wb_o_22 ;
wire wb_o_23 ;
wire wb_o_24 ;
wire wb_o_25 ;
wire wb_o_26 ;
wire wb_o_27 ;
wire wb_o_28 ;
wire wb_o_29 ;
wire wb_o_30 ;
wire wb_o_31 ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire r32_o_8 ;
wire r32_o_9 ;
wire r32_o_10 ;
wire r32_o_11 ;
wire r32_o_12 ;
wire r32_o_13 ;
wire r32_o_14 ;
wire r32_o_15 ;
wire r32_o_16 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_19 ;
wire r32_o_20 ;
wire r32_o_21 ;
wire r32_o_22 ;
wire r32_o_23 ;
wire r32_o_24 ;
wire r32_o_25 ;
wire r32_o_26 ;
wire r32_o_27 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire dout7_0_a2_0_a2_0_a2_0_a2 ;
wire clk_c ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @18:162
  cyclone_lcell r32_o_31__Z (
        .regout(r32_o_31),
        .clk(clk_c),
        .dataa(wb_o_31),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_31),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_31__Z.operation_mode="normal";
defparam r32_o_31__Z.output_mode="reg_only";
defparam r32_o_31__Z.lut_mask="f8f8";
defparam r32_o_31__Z.synch_mode="off";
defparam r32_o_31__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_30__Z (
        .regout(r32_o_30),
        .clk(clk_c),
        .dataa(wb_o_30),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_30),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_30__Z.operation_mode="normal";
defparam r32_o_30__Z.output_mode="reg_only";
defparam r32_o_30__Z.lut_mask="f8f8";
defparam r32_o_30__Z.synch_mode="off";
defparam r32_o_30__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_29__Z (
        .regout(r32_o_29),
        .clk(clk_c),
        .dataa(wb_o_29),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_29),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_29__Z.operation_mode="normal";
defparam r32_o_29__Z.output_mode="reg_only";
defparam r32_o_29__Z.lut_mask="f8f8";
defparam r32_o_29__Z.synch_mode="off";
defparam r32_o_29__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_28__Z (
        .regout(r32_o_28),
        .clk(clk_c),
        .dataa(wb_o_28),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_28),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_28__Z.operation_mode="normal";
defparam r32_o_28__Z.output_mode="reg_only";
defparam r32_o_28__Z.lut_mask="f8f8";
defparam r32_o_28__Z.synch_mode="off";
defparam r32_o_28__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_27__Z (
        .regout(r32_o_27),
        .clk(clk_c),
        .dataa(wb_o_27),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_27),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_27__Z.operation_mode="normal";
defparam r32_o_27__Z.output_mode="reg_only";
defparam r32_o_27__Z.lut_mask="f8f8";
defparam r32_o_27__Z.synch_mode="off";
defparam r32_o_27__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_26__Z (
        .regout(r32_o_26),
        .clk(clk_c),
        .dataa(wb_o_26),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_26),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_26__Z.operation_mode="normal";
defparam r32_o_26__Z.output_mode="reg_only";
defparam r32_o_26__Z.lut_mask="f8f8";
defparam r32_o_26__Z.synch_mode="off";
defparam r32_o_26__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_25__Z (
        .regout(r32_o_25),
        .clk(clk_c),
        .dataa(wb_o_25),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_25),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_25__Z.operation_mode="normal";
defparam r32_o_25__Z.output_mode="reg_only";
defparam r32_o_25__Z.lut_mask="f8f8";
defparam r32_o_25__Z.synch_mode="off";
defparam r32_o_25__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_24__Z (
        .regout(r32_o_24),
        .clk(clk_c),
        .dataa(wb_o_24),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_24),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_24__Z.operation_mode="normal";
defparam r32_o_24__Z.output_mode="reg_only";
defparam r32_o_24__Z.lut_mask="f8f8";
defparam r32_o_24__Z.synch_mode="off";
defparam r32_o_24__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_23__Z (
        .regout(r32_o_23),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_23),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_23__Z.operation_mode="normal";
defparam r32_o_23__Z.output_mode="reg_only";
defparam r32_o_23__Z.lut_mask="ffc0";
defparam r32_o_23__Z.synch_mode="off";
defparam r32_o_23__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_22__Z (
        .regout(r32_o_22),
        .clk(clk_c),
        .dataa(wb_o_22),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_22),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_22__Z.operation_mode="normal";
defparam r32_o_22__Z.output_mode="reg_only";
defparam r32_o_22__Z.lut_mask="f8f8";
defparam r32_o_22__Z.synch_mode="off";
defparam r32_o_22__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_21__Z (
        .regout(r32_o_21),
        .clk(clk_c),
        .dataa(wb_o_21),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_21),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_21__Z.operation_mode="normal";
defparam r32_o_21__Z.output_mode="reg_only";
defparam r32_o_21__Z.lut_mask="f8f8";
defparam r32_o_21__Z.synch_mode="off";
defparam r32_o_21__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_20__Z (
        .regout(r32_o_20),
        .clk(clk_c),
        .dataa(wb_o_20),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_20),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_20__Z.operation_mode="normal";
defparam r32_o_20__Z.output_mode="reg_only";
defparam r32_o_20__Z.lut_mask="f8f8";
defparam r32_o_20__Z.synch_mode="off";
defparam r32_o_20__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_19__Z (
        .regout(r32_o_19),
        .clk(clk_c),
        .dataa(wb_o_19),
        .datab(dout7_0_a2_0_a2_0_a2_0_a2),
        .datac(dout_iv_1_19),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_19__Z.operation_mode="normal";
defparam r32_o_19__Z.output_mode="reg_only";
defparam r32_o_19__Z.lut_mask="f8f8";
defparam r32_o_19__Z.synch_mode="off";
defparam r32_o_19__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_18__Z (
        .regout(r32_o_18),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_18),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_18__Z.operation_mode="normal";
defparam r32_o_18__Z.output_mode="reg_only";
defparam r32_o_18__Z.lut_mask="ffc0";
defparam r32_o_18__Z.synch_mode="off";
defparam r32_o_18__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_17__Z (
        .regout(r32_o_17),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_17),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_17__Z.operation_mode="normal";
defparam r32_o_17__Z.output_mode="reg_only";
defparam r32_o_17__Z.lut_mask="ffc0";
defparam r32_o_17__Z.synch_mode="off";
defparam r32_o_17__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_16__Z (
        .regout(r32_o_16),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_16),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_16__Z.operation_mode="normal";
defparam r32_o_16__Z.output_mode="reg_only";
defparam r32_o_16__Z.lut_mask="ffc0";
defparam r32_o_16__Z.synch_mode="off";
defparam r32_o_16__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_15__Z (
        .regout(r32_o_15),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_15),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_15__Z.operation_mode="normal";
defparam r32_o_15__Z.output_mode="reg_only";
defparam r32_o_15__Z.lut_mask="ffc0";
defparam r32_o_15__Z.synch_mode="off";
defparam r32_o_15__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_14__Z (
        .regout(r32_o_14),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_14),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_14__Z.operation_mode="normal";
defparam r32_o_14__Z.output_mode="reg_only";
defparam r32_o_14__Z.lut_mask="ffc0";
defparam r32_o_14__Z.synch_mode="off";
defparam r32_o_14__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_13__Z (
        .regout(r32_o_13),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_13),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_13__Z.operation_mode="normal";
defparam r32_o_13__Z.output_mode="reg_only";
defparam r32_o_13__Z.lut_mask="ffc0";
defparam r32_o_13__Z.synch_mode="off";
defparam r32_o_13__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_12__Z (
        .regout(r32_o_12),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_12),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_12__Z.operation_mode="normal";
defparam r32_o_12__Z.output_mode="reg_only";
defparam r32_o_12__Z.lut_mask="ffc0";
defparam r32_o_12__Z.synch_mode="off";
defparam r32_o_12__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_11__Z (
        .regout(r32_o_11),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_11),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_11__Z.operation_mode="normal";
defparam r32_o_11__Z.output_mode="reg_only";
defparam r32_o_11__Z.lut_mask="ffc0";
defparam r32_o_11__Z.synch_mode="off";
defparam r32_o_11__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_10__Z (
        .regout(r32_o_10),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_10),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_10__Z.operation_mode="normal";
defparam r32_o_10__Z.output_mode="reg_only";
defparam r32_o_10__Z.lut_mask="ffc0";
defparam r32_o_10__Z.synch_mode="off";
defparam r32_o_10__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_9__Z (
        .regout(r32_o_9),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_9),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_9__Z.operation_mode="normal";
defparam r32_o_9__Z.output_mode="reg_only";
defparam r32_o_9__Z.lut_mask="ffc0";
defparam r32_o_9__Z.synch_mode="off";
defparam r32_o_9__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_8__Z (
        .regout(r32_o_8),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_8),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_8__Z.operation_mode="normal";
defparam r32_o_8__Z.output_mode="reg_only";
defparam r32_o_8__Z.lut_mask="ffc0";
defparam r32_o_8__Z.synch_mode="off";
defparam r32_o_8__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_7__Z (
        .regout(r32_o_7),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_7),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_7__Z.operation_mode="normal";
defparam r32_o_7__Z.output_mode="reg_only";
defparam r32_o_7__Z.lut_mask="ffc0";
defparam r32_o_7__Z.synch_mode="off";
defparam r32_o_7__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_6__Z (
        .regout(r32_o_6),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_6),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_6__Z.operation_mode="normal";
defparam r32_o_6__Z.output_mode="reg_only";
defparam r32_o_6__Z.lut_mask="ffc0";
defparam r32_o_6__Z.synch_mode="off";
defparam r32_o_6__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_5__Z (
        .regout(r32_o_5),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_5),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_5__Z.operation_mode="normal";
defparam r32_o_5__Z.output_mode="reg_only";
defparam r32_o_5__Z.lut_mask="ffc0";
defparam r32_o_5__Z.synch_mode="off";
defparam r32_o_5__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_4__Z (
        .regout(r32_o_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_4),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_4__Z.operation_mode="normal";
defparam r32_o_4__Z.output_mode="reg_only";
defparam r32_o_4__Z.lut_mask="ffc0";
defparam r32_o_4__Z.synch_mode="off";
defparam r32_o_4__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_3__Z (
        .regout(r32_o_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_3),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_3__Z.operation_mode="normal";
defparam r32_o_3__Z.output_mode="reg_only";
defparam r32_o_3__Z.lut_mask="ffc0";
defparam r32_o_3__Z.synch_mode="off";
defparam r32_o_3__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_2__Z (
        .regout(r32_o_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_2),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_2__Z.operation_mode="normal";
defparam r32_o_2__Z.output_mode="reg_only";
defparam r32_o_2__Z.lut_mask="ffc0";
defparam r32_o_2__Z.synch_mode="off";
defparam r32_o_2__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_1__Z (
        .regout(r32_o_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_1),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_1__Z.operation_mode="normal";
defparam r32_o_1__Z.output_mode="reg_only";
defparam r32_o_1__Z.lut_mask="ffc0";
defparam r32_o_1__Z.synch_mode="off";
defparam r32_o_1__Z.sum_lutc_input="datac";
// @18:162
  cyclone_lcell r32_o_0__Z (
        .regout(r32_o_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(wb_o_0),
        .datac(dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(dout_iv_1_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r32_o_0__Z.operation_mode="normal";
defparam r32_o_0__Z.output_mode="reg_only";
defparam r32_o_0__Z.lut_mask="ffc0";
defparam r32_o_0__Z.synch_mode="off";
defparam r32_o_0__Z.sum_lutc_input="datac";
endmodule /* r32_reg_8 */

// VQM4.1+ 
module mips_core (
  dmem_ctl_o_0_2,
  dmem_ctl_o_0_1,
  dmem_ctl_o_0_0,
  r32_o_8_25,
  r32_o_8_24,
  r32_o_8_23,
  r32_o_8_22,
  r32_o_8_21,
  r32_o_8_20,
  r32_o_8_19,
  r32_o_8_18,
  r32_o_8_17,
  r32_o_8_16,
  r32_o_8_15,
  r32_o_8_14,
  r32_o_8_13,
  r32_o_8_12,
  r32_o_8_11,
  r32_o_8_10,
  r32_o_8_9,
  r32_o_8_8,
  r32_o_8_7,
  r32_o_8_6,
  r32_o_8_5,
  r32_o_8_4,
  r32_o_8_3,
  r32_o_8_2,
  r32_o_8_1,
  r32_o_8_0,
  count_0,
  b_o_iv_a_31,
  b_o_iv_a_30,
  b_o_iv_a_29,
  b_o_iv_a_26,
  b_o_iv_a_25,
  b_o_iv_a_24,
  b_o_iv_a_23,
  b_o_iv_a_22,
  b_o_iv_a_21,
  b_o_iv_a_20,
  b_o_iv_a_19,
  b_o_iv_a_18,
  b_o_iv_a_17,
  b_o_iv_a_16,
  b_o_iv_a_15,
  b_o_iv_a_14,
  b_o_iv_a_13,
  b_o_iv_a_11,
  b_o_iv_a_10,
  b_o_iv_a_9,
  b_o_iv_a_8,
  b_o_iv_a_7,
  b_o_iv_a_6,
  b_o_iv_a_5,
  b_o_iv_a_4,
  b_o_iv_a_3,
  b_o_iv_a_2,
  b_o_iv_a_1,
  b_o_iv_a_0,
  b_o_iv_0_0,
  b_o_iv_0_a_16,
  b_o_iv_0_a_15,
  b_o_iv_0_a_0,
  b_o_iv_0_a5_0,
  hilo_0,
  b_o_iv_31,
  b_o_iv_20,
  b_o_iv_19,
  b_o_iv_18,
  b_o_iv_17,
  b_o_iv_16,
  b_o_iv_15,
  b_o_iv_14,
  b_o_iv_13,
  b_o_iv_10,
  b_o_iv_9,
  b_o_iv_8,
  b_o_iv_7,
  b_o_iv_6,
  b_o_iv_5,
  b_o_iv_4,
  b_o_iv_3,
  b_o_iv_2,
  b_o_iv_1,
  b_o_iv_0_d0,
  b_o_iv_22,
  b_o_iv_11,
  alu_func_o_3,
  c_1_0,
  r32_o_7_31,
  r32_o_7_30,
  r32_o_7_29,
  r32_o_7_28,
  r32_o_7_27,
  r32_o_7_26,
  r32_o_7_25,
  r32_o_7_24,
  r32_o_7_23,
  r32_o_7_22,
  r32_o_7_21,
  r32_o_7_20,
  r32_o_7_19,
  r32_o_7_18,
  r32_o_7_17,
  r32_o_7_15,
  r32_o_7_14,
  r32_o_7_13,
  r32_o_7_12,
  r32_o_7_11,
  r32_o_7_10,
  r32_o_7_9,
  r32_o_7_8,
  r32_o_7_7,
  r32_o_7_6,
  r32_o_7_5,
  r32_o_7_1,
  r32_o_7_3,
  r32_o_7_2,
  r32_o_7_4,
  r32_o_7_16,
  r32_o_4_31,
  r32_o_4_30,
  r32_o_4_29,
  r32_o_4_28,
  r32_o_4_27,
  r32_o_4_26,
  r32_o_5_20,
  r32_o_5_25,
  r32_o_5_24,
  r32_o_5_22,
  r32_o_5_21,
  r32_o_5_17,
  r32_o_5_15,
  r32_o_5_14,
  r32_o_5_13,
  r32_o_5_12,
  r32_o_5_11,
  r32_o_5_10,
  r32_o_5_9,
  r32_o_5_8,
  r32_o_5_7,
  r32_o_5_6,
  r32_o_5_5,
  r32_o_5_1,
  r32_o_6_31,
  r32_o_6_30,
  r32_o_6_29,
  r32_o_6_27,
  r32_o_6_26,
  r32_o_6_18,
  r32_o_6_3,
  r32_o_6_2,
  r32_o_6_19,
  r32_o_6_23,
  r32_o_6_0,
  zz_ins_i_c_29,
  zz_ins_i_c_31,
  zz_ins_i_c_30,
  zz_ins_i_c_27,
  zz_ins_i_c_26,
  zz_ins_i_c_28,
  zz_ins_i_c_23,
  zz_ins_i_c_24,
  zz_ins_i_c_20,
  zz_ins_i_c_19,
  zz_ins_i_c_16,
  zz_ins_i_c_15,
  zz_ins_i_c_12,
  zz_ins_i_c_11,
  zz_ins_i_c_8,
  zz_ins_i_c_7,
  zz_ins_i_c_4,
  zz_ins_i_c_3,
  zz_ins_i_c_0,
  zz_ins_i_c_25,
  zz_ins_i_c_22,
  zz_ins_i_c_21,
  zz_ins_i_c_18,
  zz_ins_i_c_17,
  zz_ins_i_c_14,
  zz_ins_i_c_13,
  zz_ins_i_c_10,
  zz_ins_i_c_9,
  zz_ins_i_c_6,
  zz_ins_i_c_5,
  zz_ins_i_c_2,
  zz_ins_i_c_1,
  pc_next_iv_0_0_0,
  irq_addr_o_28,
  irq_addr_o_29,
  irq_addr_o_30,
  irq_addr_o_31,
  irq_addr_o_0,
  irq_addr_o_1,
  irq_addr_o_5,
  irq_addr_o_6,
  irq_addr_o_7,
  irq_addr_o_8,
  irq_addr_o_9,
  irq_addr_o_10,
  irq_addr_o_11,
  irq_addr_o_12,
  irq_addr_o_13,
  irq_addr_o_14,
  irq_addr_o_15,
  irq_addr_o_17,
  irq_addr_o_2,
  irq_addr_o_3,
  irq_addr_o_4,
  irq_addr_o_16,
  irq_addr_o_18,
  irq_addr_o_19,
  irq_addr_o_20,
  irq_addr_o_21,
  irq_addr_o_22,
  irq_addr_o_23,
  irq_addr_o_24,
  irq_addr_o_25,
  irq_addr_o_26,
  irq_addr_o_27,
  pc_next_iv_0_a_0,
  pc_next_iv_0_28,
  pc_next_iv_0_29,
  pc_next_iv_0_30,
  pc_next_iv_0_31,
  pc_next_iv_0_17,
  pc_next_iv_0_18,
  pc_next_iv_0_19,
  pc_next_iv_0_20,
  pc_next_iv_0_21,
  pc_next_iv_0_22,
  pc_next_iv_0_23,
  pc_next_iv_0_24,
  pc_next_iv_0_25,
  pc_next_iv_0_26,
  pc_next_iv_0_27,
  pc_next_iv_0,
  pc_next_iv_14,
  pc_next_iv_8,
  pc_next_iv_1,
  pc_next_iv_6,
  pc_next_iv_5,
  pc_next_iv_13,
  pc_next_iv_12,
  pc_next_iv_15,
  pc_next_iv_9,
  pc_next_iv_7,
  pc_next_iv_11,
  pc_next_iv_10,
  pc_next_iv_4,
  pc_next_iv_3,
  pc_next_iv_2,
  dout_iv_0_20,
  dout_iv_0_4,
  dout_iv_0_1,
  dout_iv_0_0,
  dout_iv_0_9,
  dout_iv_0_8,
  dout_iv_0_14,
  dout_iv_0_17,
  dout_iv_0_12,
  dout_iv_0_16,
  pc_next_iv_a_31,
  pc_next_iv_a_30,
  pc_next_iv_a_28,
  pc_next_iv_a_29,
  pc_next_iv_a_17,
  pc_next_iv_a_20,
  pc_next_iv_a_19,
  pc_next_iv_a_18,
  pc_next_iv_a_21,
  pc_next_iv_a_24,
  pc_next_iv_a_27,
  pc_next_iv_a_23,
  pc_next_iv_a_22,
  pc_next_iv_a_26,
  pc_next_iv_a_25,
  dout_iv_0_d0,
  dout_iv_13,
  dout_iv_16,
  dout_iv_4,
  dout_iv_15,
  dout_iv_31,
  dout_iv_14,
  dout_iv_30,
  dout_iv_28,
  dout_iv_11,
  dout_iv_27,
  dout_iv_10,
  dout_iv_26,
  dout_iv_25,
  dout_iv_8,
  dout_iv_24,
  dout_iv_22,
  dout_iv_19,
  dout_iv_2,
  dout_iv_18,
  dout_0,
  dout_1,
  dout_2,
  dout_3,
  dout_4,
  dout_5,
  dout_6,
  dout_7,
  dout_8,
  dout_9,
  dout_10,
  dout_11,
  dout_12,
  dout_13,
  dout_14,
  dout_15,
  dout_16,
  dout_17,
  dout_18,
  dout_19,
  dout_20,
  dout_21,
  dout_22,
  dout_23,
  dout_24,
  dout_25,
  dout_26,
  dout_27,
  dout_28,
  dout_29,
  dout_30,
  dout_31,
  dout_iv_1_0_27,
  dout_iv_1_0_30,
  dout_iv_1_0_18,
  dout_iv_1_0_8,
  dout_iv_1_0_25,
  dout_iv_1_0_15,
  dout_iv_1_0_19,
  dout_iv_1_0_14,
  dout_iv_1_0_26,
  dout_iv_1_0_11,
  dout_iv_1_0_2,
  dout_iv_1_0_22,
  dout_iv_1_0_24,
  dout_iv_1_0_28,
  dout_iv_1_0_10,
  dout_iv_1_31,
  dout_iv_1_16,
  dout_iv_1_27,
  dout_iv_1_0_d0,
  dout_iv_1_13,
  dout_iv_1_22,
  dout_iv_1_30,
  dout_iv_1_4,
  dout_iv_1_18,
  dout_iv_1_19,
  dout_iv_1_14,
  dout_iv_1_26,
  dout_iv_1_24,
  dout_iv_1_11,
  dout_iv_1_10,
  c_30,
  c_29,
  c_28,
  c_27,
  c_26,
  c_25,
  c_24,
  c_23,
  c_22,
  c_21,
  c_20,
  c_19,
  c_18,
  c_17,
  c_16,
  c_15,
  c_14,
  c_13,
  c_12,
  c_11,
  c_10,
  c_9,
  c_8,
  c_7,
  c_6,
  c_5,
  c_4,
  c_3,
  c_2,
  c_31,
  c_0,
  c_1,
  wr_en_0_0_2,
  wr_en_0_0_1,
  wr_en_0_0_0,
  wr_en_0_0_3,
  dout_1_0,
  dout_1_1,
  dout_1_2,
  dout_1_3,
  dout_1_4,
  dout_1_5,
  dout_1_6,
  dout_1_7,
  dout_1_2_7,
  dout_1_2_6,
  dout_1_2_5,
  dout_1_2_4,
  dout_1_2_3,
  dout_1_2_2,
  dout_1_2_1,
  dout_1_2_0,
  dout_2_7,
  dout_2_6,
  dout_2_5,
  dout_2_4,
  dout_2_3,
  dout_2_2,
  dout_2_1,
  dout_2_0,
  dout_1_x_7,
  dout_1_x_6,
  dout_1_x_5,
  dout_1_x_4,
  dout_1_x_3,
  dout_1_x_2,
  dout_1_x_1,
  dout_1_x_0,
  r32_o_0_16,
  r32_o_0_4,
  r32_o_0_0,
  r32_o_27,
  r32_o_30,
  r32_o_31,
  r32_o_26,
  r32_o_28,
  r32_o_29,
  r32_o_6_d0,
  r32_o_17,
  r32_o_18,
  r32_o_5_d0,
  r32_o_12,
  r32_o_8_d0,
  r32_o_21,
  r32_o_25,
  r32_o_13,
  r32_o_15,
  r32_o_3,
  r32_o_20,
  r32_o_7_d0,
  r32_o_19,
  r32_o_23,
  r32_o_14,
  r32_o_11,
  r32_o_2,
  r32_o_9,
  r32_o_22,
  r32_o_24,
  r32_o_10,
  r32_o_1,
  zz_din_c_22,
  zz_din_c_21,
  zz_din_c_20,
  zz_din_c_19,
  zz_din_c_18,
  zz_din_c_17,
  zz_din_c_16,
  zz_din_c_27,
  zz_din_c_24,
  zz_din_c_30,
  zz_din_c_29,
  zz_din_c_25,
  zz_din_c_26,
  zz_din_c_28,
  zz_din_c_11,
  zz_din_c_10,
  zz_din_c_14,
  zz_din_c_12,
  zz_din_c_9,
  zz_din_c_8,
  zz_din_c_13,
  zz_din_c_7,
  zz_din_c_23,
  zz_din_c_31,
  zz_din_c_15,
  zz_din_c_1,
  zz_din_c_2,
  zz_din_c_4,
  zz_din_c_5,
  zz_din_c_6,
  zz_din_c_3,
  zz_din_c_0,
  BUS7117_i_m_16,
  BUS7117_i_m_4,
  BUS7117_i_m_0,
  wb_o_0,
  wb_o_4,
  wb_o_16,
  wb_o_27,
  wb_o_28,
  wb_o_31,
  wb_o_30,
  wb_o_26,
  wb_o_25,
  wb_o_24,
  wb_o_22,
  wb_o_19,
  wb_o_18,
  wb_o_15,
  wb_o_14,
  wb_o_13,
  wb_o_11,
  wb_o_10,
  wb_o_8,
  wb_o_2,
  BUS15471_i_m_19,
  BUS15471_i_m_2,
  BUS15471_i_m_30,
  BUS15471_i_m_21,
  BUS15471_i_m_18,
  BUS15471_i_m_17,
  BUS15471_i_m_16,
  BUS15471_i_m_14,
  BUS15471_i_m_13,
  BUS15471_i_m_12,
  BUS15471_i_m_10,
  BUS15471_i_m_9,
  BUS15471_i_m_8,
  BUS15471_i_m_7,
  BUS15471_i_m_6,
  BUS15471_i_m_5,
  BUS15471_i_m_4,
  BUS15471_i_m_1,
  BUS15471_i_m_0,
  wr_cmd_0_a2_1_21_a,
  m51,
  m50,
  b_o_0_sqmuxa,
  b_o_1_sqmuxa,
  rdy,
  hilo25,
  mul,
  start,
  op2_sign_reged,
  finish,
  I_159_a,
  G_230,
  overflow_2_sqmuxa,
  b_o18,
  irq_req_o,
  rst_c,
  un1_pc_add16,
  un1_pc_add17,
  un1_pc_add18,
  un1_pc_add19,
  un1_pc_add20,
  un1_pc_add21,
  un1_pc_add22,
  un1_pc_add23,
  un1_pc_add24,
  un1_pc_add25,
  un1_pc_add26,
  un1_pc_add27,
  un1_pc_add28,
  un1_pc_add29,
  un1_pc_add30,
  un1_pc_add31,
  un1_pc_next46_0,
  dout7_0_a2_0_a2_0_a2_0_a2_0,
  dout7_0_a2_0_a2_0_a2_0_a2,
  clk_c,
  un1_b_o18_2
);
output dmem_ctl_o_0_2 ;
output dmem_ctl_o_0_1 ;
output dmem_ctl_o_0_0 ;
output r32_o_8_25 ;
output r32_o_8_24 ;
output r32_o_8_23 ;
output r32_o_8_22 ;
output r32_o_8_21 ;
output r32_o_8_20 ;
output r32_o_8_19 ;
output r32_o_8_18 ;
output r32_o_8_17 ;
output r32_o_8_16 ;
output r32_o_8_15 ;
output r32_o_8_14 ;
output r32_o_8_13 ;
output r32_o_8_12 ;
output r32_o_8_11 ;
output r32_o_8_10 ;
output r32_o_8_9 ;
output r32_o_8_8 ;
output r32_o_8_7 ;
output r32_o_8_6 ;
output r32_o_8_5 ;
output r32_o_8_4 ;
output r32_o_8_3 ;
output r32_o_8_2 ;
output r32_o_8_1 ;
output r32_o_8_0 ;
output count_0 ;
input b_o_iv_a_31 ;
input b_o_iv_a_30 ;
input b_o_iv_a_29 ;
input b_o_iv_a_26 ;
input b_o_iv_a_25 ;
input b_o_iv_a_24 ;
input b_o_iv_a_23 ;
input b_o_iv_a_22 ;
input b_o_iv_a_21 ;
input b_o_iv_a_20 ;
input b_o_iv_a_19 ;
input b_o_iv_a_18 ;
input b_o_iv_a_17 ;
input b_o_iv_a_16 ;
input b_o_iv_a_15 ;
input b_o_iv_a_14 ;
input b_o_iv_a_13 ;
input b_o_iv_a_11 ;
input b_o_iv_a_10 ;
input b_o_iv_a_9 ;
input b_o_iv_a_8 ;
input b_o_iv_a_7 ;
input b_o_iv_a_6 ;
input b_o_iv_a_5 ;
input b_o_iv_a_4 ;
input b_o_iv_a_3 ;
input b_o_iv_a_2 ;
input b_o_iv_a_1 ;
input b_o_iv_a_0 ;
input b_o_iv_0_0 ;
input b_o_iv_0_a_16 ;
input b_o_iv_0_a_15 ;
input b_o_iv_0_a_0 ;
output b_o_iv_0_a5_0 ;
output hilo_0 ;
input b_o_iv_31 ;
input b_o_iv_20 ;
input b_o_iv_19 ;
input b_o_iv_18 ;
input b_o_iv_17 ;
input b_o_iv_16 ;
input b_o_iv_15 ;
input b_o_iv_14 ;
input b_o_iv_13 ;
input b_o_iv_10 ;
input b_o_iv_9 ;
input b_o_iv_8 ;
input b_o_iv_7 ;
input b_o_iv_6 ;
input b_o_iv_5 ;
input b_o_iv_4 ;
input b_o_iv_3 ;
input b_o_iv_2 ;
input b_o_iv_1 ;
input b_o_iv_0_d0 ;
input b_o_iv_22 ;
input b_o_iv_11 ;
output alu_func_o_3 ;
output c_1_0 ;
output r32_o_7_31 ;
output r32_o_7_30 ;
output r32_o_7_29 ;
output r32_o_7_28 ;
output r32_o_7_27 ;
output r32_o_7_26 ;
output r32_o_7_25 ;
output r32_o_7_24 ;
output r32_o_7_23 ;
output r32_o_7_22 ;
output r32_o_7_21 ;
output r32_o_7_20 ;
output r32_o_7_19 ;
output r32_o_7_18 ;
output r32_o_7_17 ;
output r32_o_7_15 ;
output r32_o_7_14 ;
output r32_o_7_13 ;
output r32_o_7_12 ;
output r32_o_7_11 ;
output r32_o_7_10 ;
output r32_o_7_9 ;
output r32_o_7_8 ;
output r32_o_7_7 ;
output r32_o_7_6 ;
output r32_o_7_5 ;
output r32_o_7_1 ;
output r32_o_7_3 ;
output r32_o_7_2 ;
output r32_o_7_4 ;
output r32_o_7_16 ;
output r32_o_4_31 ;
output r32_o_4_30 ;
output r32_o_4_29 ;
output r32_o_4_28 ;
output r32_o_4_27 ;
output r32_o_4_26 ;
output r32_o_5_20 ;
output r32_o_5_25 ;
output r32_o_5_24 ;
output r32_o_5_22 ;
output r32_o_5_21 ;
output r32_o_5_17 ;
output r32_o_5_15 ;
output r32_o_5_14 ;
output r32_o_5_13 ;
output r32_o_5_12 ;
output r32_o_5_11 ;
output r32_o_5_10 ;
output r32_o_5_9 ;
output r32_o_5_8 ;
output r32_o_5_7 ;
output r32_o_5_6 ;
output r32_o_5_5 ;
output r32_o_5_1 ;
output r32_o_6_31 ;
output r32_o_6_30 ;
output r32_o_6_29 ;
output r32_o_6_27 ;
output r32_o_6_26 ;
output r32_o_6_18 ;
output r32_o_6_3 ;
output r32_o_6_2 ;
output r32_o_6_19 ;
output r32_o_6_23 ;
output r32_o_6_0 ;
input zz_ins_i_c_29 ;
input zz_ins_i_c_31 ;
input zz_ins_i_c_30 ;
input zz_ins_i_c_27 ;
input zz_ins_i_c_26 ;
input zz_ins_i_c_28 ;
input zz_ins_i_c_23 ;
input zz_ins_i_c_24 ;
input zz_ins_i_c_20 ;
input zz_ins_i_c_19 ;
input zz_ins_i_c_16 ;
input zz_ins_i_c_15 ;
input zz_ins_i_c_12 ;
input zz_ins_i_c_11 ;
input zz_ins_i_c_8 ;
input zz_ins_i_c_7 ;
input zz_ins_i_c_4 ;
input zz_ins_i_c_3 ;
input zz_ins_i_c_0 ;
input zz_ins_i_c_25 ;
input zz_ins_i_c_22 ;
input zz_ins_i_c_21 ;
input zz_ins_i_c_18 ;
input zz_ins_i_c_17 ;
input zz_ins_i_c_14 ;
input zz_ins_i_c_13 ;
input zz_ins_i_c_10 ;
input zz_ins_i_c_9 ;
input zz_ins_i_c_6 ;
input zz_ins_i_c_5 ;
input zz_ins_i_c_2 ;
input zz_ins_i_c_1 ;
output pc_next_iv_0_0_0 ;
input irq_addr_o_28 ;
input irq_addr_o_29 ;
input irq_addr_o_30 ;
input irq_addr_o_31 ;
input irq_addr_o_0 ;
input irq_addr_o_1 ;
input irq_addr_o_5 ;
input irq_addr_o_6 ;
input irq_addr_o_7 ;
input irq_addr_o_8 ;
input irq_addr_o_9 ;
input irq_addr_o_10 ;
input irq_addr_o_11 ;
input irq_addr_o_12 ;
input irq_addr_o_13 ;
input irq_addr_o_14 ;
input irq_addr_o_15 ;
input irq_addr_o_17 ;
input irq_addr_o_2 ;
input irq_addr_o_3 ;
input irq_addr_o_4 ;
input irq_addr_o_16 ;
input irq_addr_o_18 ;
input irq_addr_o_19 ;
input irq_addr_o_20 ;
input irq_addr_o_21 ;
input irq_addr_o_22 ;
input irq_addr_o_23 ;
input irq_addr_o_24 ;
input irq_addr_o_25 ;
input irq_addr_o_26 ;
input irq_addr_o_27 ;
output pc_next_iv_0_a_0 ;
output pc_next_iv_0_28 ;
output pc_next_iv_0_29 ;
output pc_next_iv_0_30 ;
output pc_next_iv_0_31 ;
output pc_next_iv_0_17 ;
output pc_next_iv_0_18 ;
output pc_next_iv_0_19 ;
output pc_next_iv_0_20 ;
output pc_next_iv_0_21 ;
output pc_next_iv_0_22 ;
output pc_next_iv_0_23 ;
output pc_next_iv_0_24 ;
output pc_next_iv_0_25 ;
output pc_next_iv_0_26 ;
output pc_next_iv_0_27 ;
output pc_next_iv_0 ;
output pc_next_iv_14 ;
output pc_next_iv_8 ;
output pc_next_iv_1 ;
output pc_next_iv_6 ;
output pc_next_iv_5 ;
output pc_next_iv_13 ;
output pc_next_iv_12 ;
output pc_next_iv_15 ;
output pc_next_iv_9 ;
output pc_next_iv_7 ;
output pc_next_iv_11 ;
output pc_next_iv_10 ;
output pc_next_iv_4 ;
output pc_next_iv_3 ;
output pc_next_iv_2 ;
input dout_iv_0_20 ;
input dout_iv_0_4 ;
input dout_iv_0_1 ;
input dout_iv_0_0 ;
input dout_iv_0_9 ;
input dout_iv_0_8 ;
input dout_iv_0_14 ;
input dout_iv_0_17 ;
input dout_iv_0_12 ;
input dout_iv_0_16 ;
output pc_next_iv_a_31 ;
output pc_next_iv_a_30 ;
output pc_next_iv_a_28 ;
output pc_next_iv_a_29 ;
output pc_next_iv_a_17 ;
output pc_next_iv_a_20 ;
output pc_next_iv_a_19 ;
output pc_next_iv_a_18 ;
output pc_next_iv_a_21 ;
output pc_next_iv_a_24 ;
output pc_next_iv_a_27 ;
output pc_next_iv_a_23 ;
output pc_next_iv_a_22 ;
output pc_next_iv_a_26 ;
output pc_next_iv_a_25 ;
input dout_iv_0_d0 ;
input dout_iv_13 ;
input dout_iv_16 ;
input dout_iv_4 ;
input dout_iv_15 ;
input dout_iv_31 ;
input dout_iv_14 ;
input dout_iv_30 ;
input dout_iv_28 ;
input dout_iv_11 ;
input dout_iv_27 ;
input dout_iv_10 ;
input dout_iv_26 ;
input dout_iv_25 ;
input dout_iv_8 ;
input dout_iv_24 ;
input dout_iv_22 ;
input dout_iv_19 ;
input dout_iv_2 ;
input dout_iv_18 ;
input dout_0 ;
input dout_1 ;
input dout_2 ;
input dout_3 ;
input dout_4 ;
input dout_5 ;
input dout_6 ;
input dout_7 ;
input dout_8 ;
input dout_9 ;
input dout_10 ;
input dout_11 ;
input dout_12 ;
input dout_13 ;
input dout_14 ;
input dout_15 ;
input dout_16 ;
input dout_17 ;
input dout_18 ;
input dout_19 ;
input dout_20 ;
input dout_21 ;
input dout_22 ;
input dout_23 ;
input dout_24 ;
input dout_25 ;
input dout_26 ;
input dout_27 ;
input dout_28 ;
input dout_29 ;
input dout_30 ;
input dout_31 ;
output dout_iv_1_0_27 ;
output dout_iv_1_0_30 ;
output dout_iv_1_0_18 ;
output dout_iv_1_0_8 ;
output dout_iv_1_0_25 ;
output dout_iv_1_0_15 ;
output dout_iv_1_0_19 ;
output dout_iv_1_0_14 ;
output dout_iv_1_0_26 ;
output dout_iv_1_0_11 ;
output dout_iv_1_0_2 ;
output dout_iv_1_0_22 ;
output dout_iv_1_0_24 ;
output dout_iv_1_0_28 ;
output dout_iv_1_0_10 ;
output dout_iv_1_31 ;
output dout_iv_1_16 ;
output dout_iv_1_27 ;
output dout_iv_1_0_d0 ;
output dout_iv_1_13 ;
output dout_iv_1_22 ;
output dout_iv_1_30 ;
output dout_iv_1_4 ;
output dout_iv_1_18 ;
output dout_iv_1_19 ;
output dout_iv_1_14 ;
output dout_iv_1_26 ;
output dout_iv_1_24 ;
output dout_iv_1_11 ;
output dout_iv_1_10 ;
output c_30 ;
output c_29 ;
output c_28 ;
output c_27 ;
output c_26 ;
output c_25 ;
output c_24 ;
output c_23 ;
output c_22 ;
output c_21 ;
output c_20 ;
output c_19 ;
output c_18 ;
output c_17 ;
output c_16 ;
output c_15 ;
output c_14 ;
output c_13 ;
output c_12 ;
output c_11 ;
output c_10 ;
output c_9 ;
output c_8 ;
output c_7 ;
output c_6 ;
output c_5 ;
output c_4 ;
output c_3 ;
output c_2 ;
output c_31 ;
input c_0 ;
output c_1 ;
output wr_en_0_0_2 ;
output wr_en_0_0_1 ;
output wr_en_0_0_0 ;
output wr_en_0_0_3 ;
output dout_1_0 ;
output dout_1_1 ;
output dout_1_2 ;
output dout_1_3 ;
output dout_1_4 ;
output dout_1_5 ;
output dout_1_6 ;
output dout_1_7 ;
output dout_1_2_7 ;
output dout_1_2_6 ;
output dout_1_2_5 ;
output dout_1_2_4 ;
output dout_1_2_3 ;
output dout_1_2_2 ;
output dout_1_2_1 ;
output dout_1_2_0 ;
output dout_2_7 ;
output dout_2_6 ;
output dout_2_5 ;
output dout_2_4 ;
output dout_2_3 ;
output dout_2_2 ;
output dout_2_1 ;
output dout_2_0 ;
output dout_1_x_7 ;
output dout_1_x_6 ;
output dout_1_x_5 ;
output dout_1_x_4 ;
output dout_1_x_3 ;
output dout_1_x_2 ;
output dout_1_x_1 ;
output dout_1_x_0 ;
output r32_o_0_16 ;
output r32_o_0_4 ;
output r32_o_0_0 ;
output r32_o_27 ;
output r32_o_30 ;
output r32_o_31 ;
output r32_o_26 ;
output r32_o_28 ;
output r32_o_29 ;
output r32_o_6_d0 ;
output r32_o_17 ;
output r32_o_18 ;
output r32_o_5_d0 ;
output r32_o_12 ;
output r32_o_8_d0 ;
output r32_o_21 ;
output r32_o_25 ;
output r32_o_13 ;
output r32_o_15 ;
output r32_o_3 ;
output r32_o_20 ;
output r32_o_7_d0 ;
output r32_o_19 ;
output r32_o_23 ;
output r32_o_14 ;
output r32_o_11 ;
output r32_o_2 ;
output r32_o_9 ;
output r32_o_22 ;
output r32_o_24 ;
output r32_o_10 ;
output r32_o_1 ;
input zz_din_c_22 ;
input zz_din_c_21 ;
input zz_din_c_20 ;
input zz_din_c_19 ;
input zz_din_c_18 ;
input zz_din_c_17 ;
input zz_din_c_16 ;
input zz_din_c_27 ;
input zz_din_c_24 ;
input zz_din_c_30 ;
input zz_din_c_29 ;
input zz_din_c_25 ;
input zz_din_c_26 ;
input zz_din_c_28 ;
input zz_din_c_11 ;
input zz_din_c_10 ;
input zz_din_c_14 ;
input zz_din_c_12 ;
input zz_din_c_9 ;
input zz_din_c_8 ;
input zz_din_c_13 ;
input zz_din_c_7 ;
input zz_din_c_23 ;
input zz_din_c_31 ;
input zz_din_c_15 ;
input zz_din_c_1 ;
input zz_din_c_2 ;
input zz_din_c_4 ;
input zz_din_c_5 ;
input zz_din_c_6 ;
input zz_din_c_3 ;
input zz_din_c_0 ;
output BUS7117_i_m_16 ;
output BUS7117_i_m_4 ;
output BUS7117_i_m_0 ;
output wb_o_0 ;
output wb_o_4 ;
output wb_o_16 ;
output wb_o_27 ;
output wb_o_28 ;
output wb_o_31 ;
output wb_o_30 ;
output wb_o_26 ;
output wb_o_25 ;
output wb_o_24 ;
output wb_o_22 ;
output wb_o_19 ;
output wb_o_18 ;
output wb_o_15 ;
output wb_o_14 ;
output wb_o_13 ;
output wb_o_11 ;
output wb_o_10 ;
output wb_o_8 ;
output wb_o_2 ;
output BUS15471_i_m_19 ;
output BUS15471_i_m_2 ;
output BUS15471_i_m_30 ;
output BUS15471_i_m_21 ;
output BUS15471_i_m_18 ;
output BUS15471_i_m_17 ;
output BUS15471_i_m_16 ;
output BUS15471_i_m_14 ;
output BUS15471_i_m_13 ;
output BUS15471_i_m_12 ;
output BUS15471_i_m_10 ;
output BUS15471_i_m_9 ;
output BUS15471_i_m_8 ;
output BUS15471_i_m_7 ;
output BUS15471_i_m_6 ;
output BUS15471_i_m_5 ;
output BUS15471_i_m_4 ;
output BUS15471_i_m_1 ;
output BUS15471_i_m_0 ;
output wr_cmd_0_a2_1_21_a ;
output m51 ;
output m50 ;
output b_o_0_sqmuxa ;
output b_o_1_sqmuxa ;
output rdy ;
output hilo25 ;
output mul ;
output start ;
output op2_sign_reged ;
output finish ;
input I_159_a ;
input G_230 ;
output overflow_2_sqmuxa ;
output b_o18 ;
input irq_req_o ;
input rst_c ;
output un1_pc_add16 ;
output un1_pc_add17 ;
output un1_pc_add18 ;
output un1_pc_add19 ;
output un1_pc_add20 ;
output un1_pc_add21 ;
output un1_pc_add22 ;
output un1_pc_add23 ;
output un1_pc_add24 ;
output un1_pc_add25 ;
output un1_pc_add26 ;
output un1_pc_add27 ;
output un1_pc_add28 ;
output un1_pc_add29 ;
output un1_pc_add30 ;
output un1_pc_add31 ;
output un1_pc_next46_0 ;
output dout7_0_a2_0_a2_0_a2_0_a2_0 ;
output dout7_0_a2_0_a2_0_a2_0_a2 ;
input clk_c ;
output un1_b_o18_2 ;
wire dmem_ctl_o_0_2 ;
wire dmem_ctl_o_0_1 ;
wire dmem_ctl_o_0_0 ;
wire r32_o_8_25 ;
wire r32_o_8_24 ;
wire r32_o_8_23 ;
wire r32_o_8_22 ;
wire r32_o_8_21 ;
wire r32_o_8_20 ;
wire r32_o_8_19 ;
wire r32_o_8_18 ;
wire r32_o_8_17 ;
wire r32_o_8_16 ;
wire r32_o_8_15 ;
wire r32_o_8_14 ;
wire r32_o_8_13 ;
wire r32_o_8_12 ;
wire r32_o_8_11 ;
wire r32_o_8_10 ;
wire r32_o_8_9 ;
wire r32_o_8_8 ;
wire r32_o_8_7 ;
wire r32_o_8_6 ;
wire r32_o_8_5 ;
wire r32_o_8_4 ;
wire r32_o_8_3 ;
wire r32_o_8_2 ;
wire r32_o_8_1 ;
wire r32_o_8_0 ;
wire count_0 ;
wire b_o_iv_a_31 ;
wire b_o_iv_a_30 ;
wire b_o_iv_a_29 ;
wire b_o_iv_a_26 ;
wire b_o_iv_a_25 ;
wire b_o_iv_a_24 ;
wire b_o_iv_a_23 ;
wire b_o_iv_a_22 ;
wire b_o_iv_a_21 ;
wire b_o_iv_a_20 ;
wire b_o_iv_a_19 ;
wire b_o_iv_a_18 ;
wire b_o_iv_a_17 ;
wire b_o_iv_a_16 ;
wire b_o_iv_a_15 ;
wire b_o_iv_a_14 ;
wire b_o_iv_a_13 ;
wire b_o_iv_a_11 ;
wire b_o_iv_a_10 ;
wire b_o_iv_a_9 ;
wire b_o_iv_a_8 ;
wire b_o_iv_a_7 ;
wire b_o_iv_a_6 ;
wire b_o_iv_a_5 ;
wire b_o_iv_a_4 ;
wire b_o_iv_a_3 ;
wire b_o_iv_a_2 ;
wire b_o_iv_a_1 ;
wire b_o_iv_a_0 ;
wire b_o_iv_0_0 ;
wire b_o_iv_0_a_16 ;
wire b_o_iv_0_a_15 ;
wire b_o_iv_0_a_0 ;
wire b_o_iv_0_a5_0 ;
wire hilo_0 ;
wire b_o_iv_31 ;
wire b_o_iv_20 ;
wire b_o_iv_19 ;
wire b_o_iv_18 ;
wire b_o_iv_17 ;
wire b_o_iv_16 ;
wire b_o_iv_15 ;
wire b_o_iv_14 ;
wire b_o_iv_13 ;
wire b_o_iv_10 ;
wire b_o_iv_9 ;
wire b_o_iv_8 ;
wire b_o_iv_7 ;
wire b_o_iv_6 ;
wire b_o_iv_5 ;
wire b_o_iv_4 ;
wire b_o_iv_3 ;
wire b_o_iv_2 ;
wire b_o_iv_1 ;
wire b_o_iv_0_d0 ;
wire b_o_iv_22 ;
wire b_o_iv_11 ;
wire alu_func_o_3 ;
wire c_1_0 ;
wire r32_o_7_31 ;
wire r32_o_7_30 ;
wire r32_o_7_29 ;
wire r32_o_7_28 ;
wire r32_o_7_27 ;
wire r32_o_7_26 ;
wire r32_o_7_25 ;
wire r32_o_7_24 ;
wire r32_o_7_23 ;
wire r32_o_7_22 ;
wire r32_o_7_21 ;
wire r32_o_7_20 ;
wire r32_o_7_19 ;
wire r32_o_7_18 ;
wire r32_o_7_17 ;
wire r32_o_7_15 ;
wire r32_o_7_14 ;
wire r32_o_7_13 ;
wire r32_o_7_12 ;
wire r32_o_7_11 ;
wire r32_o_7_10 ;
wire r32_o_7_9 ;
wire r32_o_7_8 ;
wire r32_o_7_7 ;
wire r32_o_7_6 ;
wire r32_o_7_5 ;
wire r32_o_7_1 ;
wire r32_o_7_3 ;
wire r32_o_7_2 ;
wire r32_o_7_4 ;
wire r32_o_7_16 ;
wire r32_o_4_31 ;
wire r32_o_4_30 ;
wire r32_o_4_29 ;
wire r32_o_4_28 ;
wire r32_o_4_27 ;
wire r32_o_4_26 ;
wire r32_o_5_20 ;
wire r32_o_5_25 ;
wire r32_o_5_24 ;
wire r32_o_5_22 ;
wire r32_o_5_21 ;
wire r32_o_5_17 ;
wire r32_o_5_15 ;
wire r32_o_5_14 ;
wire r32_o_5_13 ;
wire r32_o_5_12 ;
wire r32_o_5_11 ;
wire r32_o_5_10 ;
wire r32_o_5_9 ;
wire r32_o_5_8 ;
wire r32_o_5_7 ;
wire r32_o_5_6 ;
wire r32_o_5_5 ;
wire r32_o_5_1 ;
wire r32_o_6_31 ;
wire r32_o_6_30 ;
wire r32_o_6_29 ;
wire r32_o_6_27 ;
wire r32_o_6_26 ;
wire r32_o_6_18 ;
wire r32_o_6_3 ;
wire r32_o_6_2 ;
wire r32_o_6_19 ;
wire r32_o_6_23 ;
wire r32_o_6_0 ;
wire zz_ins_i_c_29 ;
wire zz_ins_i_c_31 ;
wire zz_ins_i_c_30 ;
wire zz_ins_i_c_27 ;
wire zz_ins_i_c_26 ;
wire zz_ins_i_c_28 ;
wire zz_ins_i_c_23 ;
wire zz_ins_i_c_24 ;
wire zz_ins_i_c_20 ;
wire zz_ins_i_c_19 ;
wire zz_ins_i_c_16 ;
wire zz_ins_i_c_15 ;
wire zz_ins_i_c_12 ;
wire zz_ins_i_c_11 ;
wire zz_ins_i_c_8 ;
wire zz_ins_i_c_7 ;
wire zz_ins_i_c_4 ;
wire zz_ins_i_c_3 ;
wire zz_ins_i_c_0 ;
wire zz_ins_i_c_25 ;
wire zz_ins_i_c_22 ;
wire zz_ins_i_c_21 ;
wire zz_ins_i_c_18 ;
wire zz_ins_i_c_17 ;
wire zz_ins_i_c_14 ;
wire zz_ins_i_c_13 ;
wire zz_ins_i_c_10 ;
wire zz_ins_i_c_9 ;
wire zz_ins_i_c_6 ;
wire zz_ins_i_c_5 ;
wire zz_ins_i_c_2 ;
wire zz_ins_i_c_1 ;
wire pc_next_iv_0_0_0 ;
wire irq_addr_o_28 ;
wire irq_addr_o_29 ;
wire irq_addr_o_30 ;
wire irq_addr_o_31 ;
wire irq_addr_o_0 ;
wire irq_addr_o_1 ;
wire irq_addr_o_5 ;
wire irq_addr_o_6 ;
wire irq_addr_o_7 ;
wire irq_addr_o_8 ;
wire irq_addr_o_9 ;
wire irq_addr_o_10 ;
wire irq_addr_o_11 ;
wire irq_addr_o_12 ;
wire irq_addr_o_13 ;
wire irq_addr_o_14 ;
wire irq_addr_o_15 ;
wire irq_addr_o_17 ;
wire irq_addr_o_2 ;
wire irq_addr_o_3 ;
wire irq_addr_o_4 ;
wire irq_addr_o_16 ;
wire irq_addr_o_18 ;
wire irq_addr_o_19 ;
wire irq_addr_o_20 ;
wire irq_addr_o_21 ;
wire irq_addr_o_22 ;
wire irq_addr_o_23 ;
wire irq_addr_o_24 ;
wire irq_addr_o_25 ;
wire irq_addr_o_26 ;
wire irq_addr_o_27 ;
wire pc_next_iv_0_a_0 ;
wire pc_next_iv_0_28 ;
wire pc_next_iv_0_29 ;
wire pc_next_iv_0_30 ;
wire pc_next_iv_0_31 ;
wire pc_next_iv_0_17 ;
wire pc_next_iv_0_18 ;
wire pc_next_iv_0_19 ;
wire pc_next_iv_0_20 ;
wire pc_next_iv_0_21 ;
wire pc_next_iv_0_22 ;
wire pc_next_iv_0_23 ;
wire pc_next_iv_0_24 ;
wire pc_next_iv_0_25 ;
wire pc_next_iv_0_26 ;
wire pc_next_iv_0_27 ;
wire pc_next_iv_0 ;
wire pc_next_iv_14 ;
wire pc_next_iv_8 ;
wire pc_next_iv_1 ;
wire pc_next_iv_6 ;
wire pc_next_iv_5 ;
wire pc_next_iv_13 ;
wire pc_next_iv_12 ;
wire pc_next_iv_15 ;
wire pc_next_iv_9 ;
wire pc_next_iv_7 ;
wire pc_next_iv_11 ;
wire pc_next_iv_10 ;
wire pc_next_iv_4 ;
wire pc_next_iv_3 ;
wire pc_next_iv_2 ;
wire dout_iv_0_20 ;
wire dout_iv_0_4 ;
wire dout_iv_0_1 ;
wire dout_iv_0_0 ;
wire dout_iv_0_9 ;
wire dout_iv_0_8 ;
wire dout_iv_0_14 ;
wire dout_iv_0_17 ;
wire dout_iv_0_12 ;
wire dout_iv_0_16 ;
wire pc_next_iv_a_31 ;
wire pc_next_iv_a_30 ;
wire pc_next_iv_a_28 ;
wire pc_next_iv_a_29 ;
wire pc_next_iv_a_17 ;
wire pc_next_iv_a_20 ;
wire pc_next_iv_a_19 ;
wire pc_next_iv_a_18 ;
wire pc_next_iv_a_21 ;
wire pc_next_iv_a_24 ;
wire pc_next_iv_a_27 ;
wire pc_next_iv_a_23 ;
wire pc_next_iv_a_22 ;
wire pc_next_iv_a_26 ;
wire pc_next_iv_a_25 ;
wire dout_iv_0_d0 ;
wire dout_iv_13 ;
wire dout_iv_16 ;
wire dout_iv_4 ;
wire dout_iv_15 ;
wire dout_iv_31 ;
wire dout_iv_14 ;
wire dout_iv_30 ;
wire dout_iv_28 ;
wire dout_iv_11 ;
wire dout_iv_27 ;
wire dout_iv_10 ;
wire dout_iv_26 ;
wire dout_iv_25 ;
wire dout_iv_8 ;
wire dout_iv_24 ;
wire dout_iv_22 ;
wire dout_iv_19 ;
wire dout_iv_2 ;
wire dout_iv_18 ;
wire dout_0 ;
wire dout_1 ;
wire dout_2 ;
wire dout_3 ;
wire dout_4 ;
wire dout_5 ;
wire dout_6 ;
wire dout_7 ;
wire dout_8 ;
wire dout_9 ;
wire dout_10 ;
wire dout_11 ;
wire dout_12 ;
wire dout_13 ;
wire dout_14 ;
wire dout_15 ;
wire dout_16 ;
wire dout_17 ;
wire dout_18 ;
wire dout_19 ;
wire dout_20 ;
wire dout_21 ;
wire dout_22 ;
wire dout_23 ;
wire dout_24 ;
wire dout_25 ;
wire dout_26 ;
wire dout_27 ;
wire dout_28 ;
wire dout_29 ;
wire dout_30 ;
wire dout_31 ;
wire dout_iv_1_0_27 ;
wire dout_iv_1_0_30 ;
wire dout_iv_1_0_18 ;
wire dout_iv_1_0_8 ;
wire dout_iv_1_0_25 ;
wire dout_iv_1_0_15 ;
wire dout_iv_1_0_19 ;
wire dout_iv_1_0_14 ;
wire dout_iv_1_0_26 ;
wire dout_iv_1_0_11 ;
wire dout_iv_1_0_2 ;
wire dout_iv_1_0_22 ;
wire dout_iv_1_0_24 ;
wire dout_iv_1_0_28 ;
wire dout_iv_1_0_10 ;
wire dout_iv_1_31 ;
wire dout_iv_1_16 ;
wire dout_iv_1_27 ;
wire dout_iv_1_0_d0 ;
wire dout_iv_1_13 ;
wire dout_iv_1_22 ;
wire dout_iv_1_30 ;
wire dout_iv_1_4 ;
wire dout_iv_1_18 ;
wire dout_iv_1_19 ;
wire dout_iv_1_14 ;
wire dout_iv_1_26 ;
wire dout_iv_1_24 ;
wire dout_iv_1_11 ;
wire dout_iv_1_10 ;
wire c_30 ;
wire c_29 ;
wire c_28 ;
wire c_27 ;
wire c_26 ;
wire c_25 ;
wire c_24 ;
wire c_23 ;
wire c_22 ;
wire c_21 ;
wire c_20 ;
wire c_19 ;
wire c_18 ;
wire c_17 ;
wire c_16 ;
wire c_15 ;
wire c_14 ;
wire c_13 ;
wire c_12 ;
wire c_11 ;
wire c_10 ;
wire c_9 ;
wire c_8 ;
wire c_7 ;
wire c_6 ;
wire c_5 ;
wire c_4 ;
wire c_3 ;
wire c_2 ;
wire c_31 ;
wire c_0 ;
wire c_1 ;
wire wr_en_0_0_2 ;
wire wr_en_0_0_1 ;
wire wr_en_0_0_0 ;
wire wr_en_0_0_3 ;
wire dout_1_0 ;
wire dout_1_1 ;
wire dout_1_2 ;
wire dout_1_3 ;
wire dout_1_4 ;
wire dout_1_5 ;
wire dout_1_6 ;
wire dout_1_7 ;
wire dout_1_2_7 ;
wire dout_1_2_6 ;
wire dout_1_2_5 ;
wire dout_1_2_4 ;
wire dout_1_2_3 ;
wire dout_1_2_2 ;
wire dout_1_2_1 ;
wire dout_1_2_0 ;
wire dout_2_7 ;
wire dout_2_6 ;
wire dout_2_5 ;
wire dout_2_4 ;
wire dout_2_3 ;
wire dout_2_2 ;
wire dout_2_1 ;
wire dout_2_0 ;
wire dout_1_x_7 ;
wire dout_1_x_6 ;
wire dout_1_x_5 ;
wire dout_1_x_4 ;
wire dout_1_x_3 ;
wire dout_1_x_2 ;
wire dout_1_x_1 ;
wire dout_1_x_0 ;
wire r32_o_0_16 ;
wire r32_o_0_4 ;
wire r32_o_0_0 ;
wire r32_o_27 ;
wire r32_o_30 ;
wire r32_o_31 ;
wire r32_o_26 ;
wire r32_o_28 ;
wire r32_o_29 ;
wire r32_o_6_d0 ;
wire r32_o_17 ;
wire r32_o_18 ;
wire r32_o_5_d0 ;
wire r32_o_12 ;
wire r32_o_8_d0 ;
wire r32_o_21 ;
wire r32_o_25 ;
wire r32_o_13 ;
wire r32_o_15 ;
wire r32_o_3 ;
wire r32_o_20 ;
wire r32_o_7_d0 ;
wire r32_o_19 ;
wire r32_o_23 ;
wire r32_o_14 ;
wire r32_o_11 ;
wire r32_o_2 ;
wire r32_o_9 ;
wire r32_o_22 ;
wire r32_o_24 ;
wire r32_o_10 ;
wire r32_o_1 ;
wire zz_din_c_22 ;
wire zz_din_c_21 ;
wire zz_din_c_20 ;
wire zz_din_c_19 ;
wire zz_din_c_18 ;
wire zz_din_c_17 ;
wire zz_din_c_16 ;
wire zz_din_c_27 ;
wire zz_din_c_24 ;
wire zz_din_c_30 ;
wire zz_din_c_29 ;
wire zz_din_c_25 ;
wire zz_din_c_26 ;
wire zz_din_c_28 ;
wire zz_din_c_11 ;
wire zz_din_c_10 ;
wire zz_din_c_14 ;
wire zz_din_c_12 ;
wire zz_din_c_9 ;
wire zz_din_c_8 ;
wire zz_din_c_13 ;
wire zz_din_c_7 ;
wire zz_din_c_23 ;
wire zz_din_c_31 ;
wire zz_din_c_15 ;
wire zz_din_c_1 ;
wire zz_din_c_2 ;
wire zz_din_c_4 ;
wire zz_din_c_5 ;
wire zz_din_c_6 ;
wire zz_din_c_3 ;
wire zz_din_c_0 ;
wire BUS7117_i_m_16 ;
wire BUS7117_i_m_4 ;
wire BUS7117_i_m_0 ;
wire wb_o_0 ;
wire wb_o_4 ;
wire wb_o_16 ;
wire wb_o_27 ;
wire wb_o_28 ;
wire wb_o_31 ;
wire wb_o_30 ;
wire wb_o_26 ;
wire wb_o_25 ;
wire wb_o_24 ;
wire wb_o_22 ;
wire wb_o_19 ;
wire wb_o_18 ;
wire wb_o_15 ;
wire wb_o_14 ;
wire wb_o_13 ;
wire wb_o_11 ;
wire wb_o_10 ;
wire wb_o_8 ;
wire wb_o_2 ;
wire BUS15471_i_m_19 ;
wire BUS15471_i_m_2 ;
wire BUS15471_i_m_30 ;
wire BUS15471_i_m_21 ;
wire BUS15471_i_m_18 ;
wire BUS15471_i_m_17 ;
wire BUS15471_i_m_16 ;
wire BUS15471_i_m_14 ;
wire BUS15471_i_m_13 ;
wire BUS15471_i_m_12 ;
wire BUS15471_i_m_10 ;
wire BUS15471_i_m_9 ;
wire BUS15471_i_m_8 ;
wire BUS15471_i_m_7 ;
wire BUS15471_i_m_6 ;
wire BUS15471_i_m_5 ;
wire BUS15471_i_m_4 ;
wire BUS15471_i_m_1 ;
wire BUS15471_i_m_0 ;
wire wr_cmd_0_a2_1_21_a ;
wire m51 ;
wire m50 ;
wire b_o_0_sqmuxa ;
wire b_o_1_sqmuxa ;
wire rdy ;
wire hilo25 ;
wire mul ;
wire start ;
wire op2_sign_reged ;
wire finish ;
wire I_159_a ;
wire G_230 ;
wire overflow_2_sqmuxa ;
wire b_o18 ;
wire irq_req_o ;
wire rst_c ;
wire un1_pc_add16 ;
wire un1_pc_add17 ;
wire un1_pc_add18 ;
wire un1_pc_add19 ;
wire un1_pc_add20 ;
wire un1_pc_add21 ;
wire un1_pc_add22 ;
wire un1_pc_add23 ;
wire un1_pc_add24 ;
wire un1_pc_add25 ;
wire un1_pc_add26 ;
wire un1_pc_add27 ;
wire un1_pc_add28 ;
wire un1_pc_add29 ;
wire un1_pc_add30 ;
wire un1_pc_add31 ;
wire un1_pc_next46_0 ;
wire dout7_0_a2_0_a2_0_a2_0_a2_0 ;
wire dout7_0_a2_0_a2_0_a2_0_a2 ;
wire clk_c ;
wire un1_b_o18_2 ;
wire [3:3] CurrState_Sreg0;
wire [1:0] muxb_ctl_o;
wire [29:1] wb_o;
wire [30:21] BUS15471_i_m;
wire [16:0] r32_o;
wire [6:0] dout_0_0_a6_x;
wire [4:1] dout_0_a6_x;
wire [13:10] dout_i_i_a_x;
wire [12:8] dout_0_a_x;
wire [14:14] dout_0_0_a_x;
wire [23:15] dout_i_i_a;
wire [7:7] dout_0_2;
wire [16:16] dout_i_i_a6;
wire [23:15] dout_i_i_1;
wire [31:31] dout_i_i_0;
wire [0:0] dout_0_0_a2_2;
wire [8:8] dout_0_a2_0;
wire [4:1] dout_0_a;
wire [6:0] dout_0_0_a;
wire [7:7] dout_0_a2_2;
wire [31:8] dout_2_Z;
wire [3:0] dmem_ctl_o;
wire [29:1] dout_iv_1;
wire [31:31] dout_iv_a;
wire [29:0] dout_iv_1_0;
wire [4:0] r5_o;
wire [31:1] r32_o_0;
wire [31:0] r32_o_1_Z;
wire [31:0] r32_o_2_Z;
wire [0:0] wb_mux_ctl_o;
wire [0:0] wb_we_o;
wire [31:31] reg_bank_m;
wire [27:0] res_7_0_0_a;
wire [2:0] ext_ctl_o;
wire [16:16] res_7_0_0_a2_0_0;
wire [18:18] res_7_0_0_a3;
wire [2:0] cmp_ctl_o;
wire [15:0] pc_next_iv_a;
wire [31:0] r32_o_3_Z;
wire [15:0] pc_next_iv_0_Z;
wire [31:0] res_7_0_0;
wire [2:0] pc_gen_ctl_o;
wire [25:0] r32_o_4;
wire [16:2] res_7_0_0_0;
wire [2:2] res_7_0_0_o3;
wire [31:0] r32_o_5;
wire [2:2] pc_gen_ctl_0_0_a2_2;
wire [28:1] r32_o_6;
wire [0:0] wb_we_o_0;
wire [1:0] muxa_ctl_o;
wire [4:4] q;
wire [31:0] dout_2_a;
wire [0:0] r32_o_7;
wire [31:1] c_a;
wire [31:28] shift_out_92_2_0;
wire [31:1] c_1_Z;
wire [24:11] c_3_Z;
wire [22:22] c_4_Z;
wire [4:0] alu_func_o;
wire [7:7] c_0_Z;
wire [23:20] shift_out_89_2_0;
wire [19:6] shift_out_89_4_0;
wire [30:2] shift_out_89;
wire [27:1] shift_out_92;
wire [1:0] rd_sel_o;
wire [4:0] r5_o_0;
wire [4:0] r5_o_1;
wire NET21531_i ;
wire iack_1 ;
wire dout7 ;
wire un16_mux_fw_0 ;
wire mux_fw_1 ;
wire un32_mux_fw_a ;
wire un30_mux_fw ;
wire mux_fw_1_0 ;
wire un32_mux_fw ;
wire un32_mux_fw_0 ;
wire un1_pc_add2 ;
wire un1_pc_add3 ;
wire un1_pc_add4 ;
wire un1_pc_add10 ;
wire un1_pc_add11 ;
wire un1_pc_add7 ;
wire un1_pc_add9 ;
wire un1_pc_add15 ;
wire un1_pc_add12 ;
wire un1_pc_add13 ;
wire un1_pc_add5 ;
wire un1_pc_add6 ;
wire un1_pc_add1 ;
wire un1_pc_add8 ;
wire un1_pc_add14 ;
wire un1_pc_add0 ;
wire NET1572_i_i ;
wire fsm_dly_1_1_0_0 ;
wire fsm_dly_2_1_0_0 ;
wire fsm_dly_0_1_0_0 ;
wire fsm_dly_1_1_0_0_a2_0 ;
wire NET1606_i ;
wire ra2exec_ctl_clr_i_a2_0_a2 ;
wire un1_mux_fw_NE ;
wire un14_mux_fw ;
wire un17_mux_fw_NE ;
wire mux_fw_1_1 ;
wire un17_mux_fw_NE_1 ;
wire un17_mux_fw_NE_2 ;
wire shift_out_sn_m31_i ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @14:112
  cyclone_lcell NET21531_x (
        .combout(NET21531_i),
        .dataa(iack_1),
        .datab(CurrState_Sreg0[3]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam NET21531_x.operation_mode="normal";
defparam NET21531_x.output_mode="comb_only";
defparam NET21531_x.lut_mask="2222";
defparam NET21531_x.synch_mode="off";
defparam NET21531_x.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_1_ (
        .combout(BUS15471_i_m_0),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[1]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_1_.operation_mode="normal";
defparam BUS15471_i_m_1_.output_mode="comb_only";
defparam BUS15471_i_m_1_.lut_mask="0200";
defparam BUS15471_i_m_1_.synch_mode="off";
defparam BUS15471_i_m_1_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_2_ (
        .combout(BUS15471_i_m_1),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_2),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_2_.operation_mode="normal";
defparam BUS15471_i_m_2_.output_mode="comb_only";
defparam BUS15471_i_m_2_.lut_mask="0200";
defparam BUS15471_i_m_2_.synch_mode="off";
defparam BUS15471_i_m_2_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_5_ (
        .combout(BUS15471_i_m_4),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[5]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_5_.operation_mode="normal";
defparam BUS15471_i_m_5_.output_mode="comb_only";
defparam BUS15471_i_m_5_.lut_mask="0200";
defparam BUS15471_i_m_5_.synch_mode="off";
defparam BUS15471_i_m_5_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_6_ (
        .combout(BUS15471_i_m_5),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[6]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_6_.operation_mode="normal";
defparam BUS15471_i_m_6_.output_mode="comb_only";
defparam BUS15471_i_m_6_.lut_mask="0200";
defparam BUS15471_i_m_6_.synch_mode="off";
defparam BUS15471_i_m_6_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_7_ (
        .combout(BUS15471_i_m_6),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[7]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_7_.operation_mode="normal";
defparam BUS15471_i_m_7_.output_mode="comb_only";
defparam BUS15471_i_m_7_.lut_mask="0200";
defparam BUS15471_i_m_7_.synch_mode="off";
defparam BUS15471_i_m_7_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_8_ (
        .combout(BUS15471_i_m_7),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_8),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_8_.operation_mode="normal";
defparam BUS15471_i_m_8_.output_mode="comb_only";
defparam BUS15471_i_m_8_.lut_mask="0200";
defparam BUS15471_i_m_8_.synch_mode="off";
defparam BUS15471_i_m_8_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_9_ (
        .combout(BUS15471_i_m_8),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[9]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_9_.operation_mode="normal";
defparam BUS15471_i_m_9_.output_mode="comb_only";
defparam BUS15471_i_m_9_.lut_mask="0200";
defparam BUS15471_i_m_9_.synch_mode="off";
defparam BUS15471_i_m_9_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_10_ (
        .combout(BUS15471_i_m_9),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_10),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_10_.operation_mode="normal";
defparam BUS15471_i_m_10_.output_mode="comb_only";
defparam BUS15471_i_m_10_.lut_mask="0200";
defparam BUS15471_i_m_10_.synch_mode="off";
defparam BUS15471_i_m_10_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_11_ (
        .combout(BUS15471_i_m_10),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_11),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_11_.operation_mode="normal";
defparam BUS15471_i_m_11_.output_mode="comb_only";
defparam BUS15471_i_m_11_.lut_mask="0200";
defparam BUS15471_i_m_11_.synch_mode="off";
defparam BUS15471_i_m_11_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_13_ (
        .combout(BUS15471_i_m_12),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_13),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_13_.operation_mode="normal";
defparam BUS15471_i_m_13_.output_mode="comb_only";
defparam BUS15471_i_m_13_.lut_mask="0200";
defparam BUS15471_i_m_13_.synch_mode="off";
defparam BUS15471_i_m_13_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_14_ (
        .combout(BUS15471_i_m_13),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_14),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_14_.operation_mode="normal";
defparam BUS15471_i_m_14_.output_mode="comb_only";
defparam BUS15471_i_m_14_.lut_mask="0200";
defparam BUS15471_i_m_14_.synch_mode="off";
defparam BUS15471_i_m_14_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_15_ (
        .combout(BUS15471_i_m_14),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_15),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_15_.operation_mode="normal";
defparam BUS15471_i_m_15_.output_mode="comb_only";
defparam BUS15471_i_m_15_.lut_mask="0200";
defparam BUS15471_i_m_15_.synch_mode="off";
defparam BUS15471_i_m_15_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_17_ (
        .combout(BUS15471_i_m_16),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[17]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_17_.operation_mode="normal";
defparam BUS15471_i_m_17_.output_mode="comb_only";
defparam BUS15471_i_m_17_.lut_mask="0200";
defparam BUS15471_i_m_17_.synch_mode="off";
defparam BUS15471_i_m_17_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_18_ (
        .combout(BUS15471_i_m_17),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_18),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_18_.operation_mode="normal";
defparam BUS15471_i_m_18_.output_mode="comb_only";
defparam BUS15471_i_m_18_.lut_mask="0200";
defparam BUS15471_i_m_18_.synch_mode="off";
defparam BUS15471_i_m_18_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_19_ (
        .combout(BUS15471_i_m_18),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_19),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_19_.operation_mode="normal";
defparam BUS15471_i_m_19_.output_mode="comb_only";
defparam BUS15471_i_m_19_.lut_mask="0200";
defparam BUS15471_i_m_19_.synch_mode="off";
defparam BUS15471_i_m_19_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_21_ (
        .combout(BUS15471_i_m[21]),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[21]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_21_.operation_mode="normal";
defparam BUS15471_i_m_21_.output_mode="comb_only";
defparam BUS15471_i_m_21_.lut_mask="0200";
defparam BUS15471_i_m_21_.synch_mode="off";
defparam BUS15471_i_m_21_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_22_ (
        .combout(BUS15471_i_m_21),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_22),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_22_.operation_mode="normal";
defparam BUS15471_i_m_22_.output_mode="comb_only";
defparam BUS15471_i_m_22_.lut_mask="0200";
defparam BUS15471_i_m_22_.synch_mode="off";
defparam BUS15471_i_m_22_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_23_ (
        .combout(BUS15471_i_m[23]),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[23]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_23_.operation_mode="normal";
defparam BUS15471_i_m_23_.output_mode="comb_only";
defparam BUS15471_i_m_23_.lut_mask="0200";
defparam BUS15471_i_m_23_.synch_mode="off";
defparam BUS15471_i_m_23_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_24_ (
        .combout(BUS15471_i_m[24]),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_24),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_24_.operation_mode="normal";
defparam BUS15471_i_m_24_.output_mode="comb_only";
defparam BUS15471_i_m_24_.lut_mask="0200";
defparam BUS15471_i_m_24_.synch_mode="off";
defparam BUS15471_i_m_24_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_25_ (
        .combout(BUS15471_i_m[25]),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_25),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_25_.operation_mode="normal";
defparam BUS15471_i_m_25_.output_mode="comb_only";
defparam BUS15471_i_m_25_.lut_mask="0200";
defparam BUS15471_i_m_25_.synch_mode="off";
defparam BUS15471_i_m_25_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_26_ (
        .combout(BUS15471_i_m[26]),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_26),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_26_.operation_mode="normal";
defparam BUS15471_i_m_26_.output_mode="comb_only";
defparam BUS15471_i_m_26_.lut_mask="0200";
defparam BUS15471_i_m_26_.synch_mode="off";
defparam BUS15471_i_m_26_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_29_ (
        .combout(BUS15471_i_m[29]),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[29]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_29_.operation_mode="normal";
defparam BUS15471_i_m_29_.output_mode="comb_only";
defparam BUS15471_i_m_29_.lut_mask="0200";
defparam BUS15471_i_m_29_.synch_mode="off";
defparam BUS15471_i_m_29_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_30_ (
        .combout(BUS15471_i_m[30]),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_30),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_30_.operation_mode="normal";
defparam BUS15471_i_m_30_.output_mode="comb_only";
defparam BUS15471_i_m_30_.lut_mask="0200";
defparam BUS15471_i_m_30_.synch_mode="off";
defparam BUS15471_i_m_30_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_31_ (
        .combout(BUS15471_i_m_30),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o_31),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_31_.operation_mode="normal";
defparam BUS15471_i_m_31_.output_mode="comb_only";
defparam BUS15471_i_m_31_.lut_mask="0200";
defparam BUS15471_i_m_31_.synch_mode="off";
defparam BUS15471_i_m_31_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_3_ (
        .combout(BUS15471_i_m_2),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[3]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_3_.operation_mode="normal";
defparam BUS15471_i_m_3_.output_mode="comb_only";
defparam BUS15471_i_m_3_.lut_mask="0200";
defparam BUS15471_i_m_3_.synch_mode="off";
defparam BUS15471_i_m_3_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS15471_i_m_20_ (
        .combout(BUS15471_i_m_19),
        .dataa(muxb_ctl_o[0]),
        .datab(muxb_ctl_o[1]),
        .datac(wb_o[20]),
        .datad(dout7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS15471_i_m_20_.operation_mode="normal";
defparam BUS15471_i_m_20_.output_mode="comb_only";
defparam BUS15471_i_m_20_.lut_mask="0200";
defparam BUS15471_i_m_20_.synch_mode="off";
defparam BUS15471_i_m_20_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS7117_i_m_0_ (
        .combout(BUS7117_i_m_0),
        .dataa(VCC),
        .datab(VCC),
        .datac(r32_o[0]),
        .datad(un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS7117_i_m_0_.operation_mode="normal";
defparam BUS7117_i_m_0_.output_mode="comb_only";
defparam BUS7117_i_m_0_.lut_mask="0f00";
defparam BUS7117_i_m_0_.synch_mode="off";
defparam BUS7117_i_m_0_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS7117_i_m_4_ (
        .combout(BUS7117_i_m_4),
        .dataa(VCC),
        .datab(VCC),
        .datac(r32_o[4]),
        .datad(un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS7117_i_m_4_.operation_mode="normal";
defparam BUS7117_i_m_4_.output_mode="comb_only";
defparam BUS7117_i_m_4_.lut_mask="0f00";
defparam BUS7117_i_m_4_.synch_mode="off";
defparam BUS7117_i_m_4_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell BUS7117_i_m_16_ (
        .combout(BUS7117_i_m_16),
        .dataa(VCC),
        .datab(VCC),
        .datac(r32_o[16]),
        .datad(un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam BUS7117_i_m_16_.operation_mode="normal";
defparam BUS7117_i_m_16_.output_mode="comb_only";
defparam BUS7117_i_m_16_.lut_mask="0f00";
defparam BUS7117_i_m_16_.synch_mode="off";
defparam BUS7117_i_m_16_.sum_lutc_input="datac";
// @14:99
  mem_module MEM_CTL (
        .dout_0_0_a6_x_0(dout_0_0_a6_x[0]),
        .dout_0_0_a6_x_3(dout_0_0_a6_x[3]),
        .dout_0_0_a6_x_6(dout_0_0_a6_x[6]),
        .dout_0_0_a6_x_5(dout_0_0_a6_x[5]),
        .dout_0_0_a6_x_2(dout_0_0_a6_x[2]),
        .zz_din_c_0(zz_din_c_0),
        .zz_din_c_3(zz_din_c_3),
        .zz_din_c_6(zz_din_c_6),
        .zz_din_c_5(zz_din_c_5),
        .zz_din_c_4(zz_din_c_4),
        .zz_din_c_2(zz_din_c_2),
        .zz_din_c_1(zz_din_c_1),
        .zz_din_c_15(zz_din_c_15),
        .zz_din_c_31(zz_din_c_31),
        .zz_din_c_23(zz_din_c_23),
        .zz_din_c_7(zz_din_c_7),
        .zz_din_c_13(zz_din_c_13),
        .zz_din_c_8(zz_din_c_8),
        .zz_din_c_9(zz_din_c_9),
        .zz_din_c_12(zz_din_c_12),
        .zz_din_c_14(zz_din_c_14),
        .zz_din_c_10(zz_din_c_10),
        .zz_din_c_11(zz_din_c_11),
        .zz_din_c_28(zz_din_c_28),
        .zz_din_c_26(zz_din_c_26),
        .zz_din_c_25(zz_din_c_25),
        .zz_din_c_29(zz_din_c_29),
        .zz_din_c_30(zz_din_c_30),
        .zz_din_c_24(zz_din_c_24),
        .zz_din_c_27(zz_din_c_27),
        .dout_0_a6_x_3(dout_0_a6_x[4]),
        .dout_0_a6_x_0(dout_0_a6_x[1]),
        .r32_o_1(r32_o_1),
        .r32_o_0(r32_o_0_0),
        .dout_i_i_a_x_3(dout_i_i_a_x[13]),
        .dout_i_i_a_x_0(dout_i_i_a_x[10]),
        .dout_i_i_a_x_1(dout_i_i_a_x[11]),
        .dout_0_a_x_0(dout_0_a_x[8]),
        .dout_0_a_x_1(dout_0_a_x[9]),
        .dout_0_a_x_4(dout_0_a_x[12]),
        .dout_0_0_a_x_0(dout_0_0_a_x[14]),
        .dout_i_i_a_8(dout_i_i_a[23]),
        .dout_i_i_a_0(dout_i_i_a[15]),
        .dout_0_2_0(dout_0_2[7]),
        .dout_i_i_a6_0(dout_i_i_a6[16]),
        .dout_i_i_1_8(dout_i_i_1[23]),
        .dout_i_i_1_0(dout_i_i_1[15]),
        .dout_i_i_0_0(dout_i_i_0[31]),
        .dout_0_0_a2_2_0(dout_0_0_a2_2[0]),
        .dout_0_a2_0_0(dout_0_a2_0[8]),
        .dout_0_a_3(dout_0_a[4]),
        .dout_0_a_0(dout_0_a[1]),
        .dout_0_0_a_2(dout_0_0_a[2]),
        .dout_0_0_a_5(dout_0_0_a[5]),
        .dout_0_0_a_6(dout_0_0_a[6]),
        .dout_0_0_a_0(dout_0_0_a[0]),
        .dout_0_0_a_3(dout_0_0_a[3]),
        .dout_0_a2_2_0(dout_0_a2_2[7]),
        .dout_1_x_0(dout_1_x_0),
        .dout_1_x_1(dout_1_x_1),
        .dout_1_x_2(dout_1_x_2),
        .dout_1_x_3(dout_1_x_3),
        .dout_1_x_4(dout_1_x_4),
        .dout_1_x_5(dout_1_x_5),
        .dout_1_x_6(dout_1_x_6),
        .dout_1_x_7(dout_1_x_7),
        .dout_2_8(dout_2_Z[8]),
        .dout_2_0(dout_2_0),
        .dout_2_9(dout_2_Z[9]),
        .dout_2_1(dout_2_1),
        .dout_2_10(dout_2_Z[10]),
        .dout_2_2(dout_2_2),
        .dout_2_11(dout_2_Z[11]),
        .dout_2_3(dout_2_3),
        .dout_2_12(dout_2_Z[12]),
        .dout_2_4(dout_2_4),
        .dout_2_13(dout_2_Z[13]),
        .dout_2_5(dout_2_5),
        .dout_2_14(dout_2_Z[14]),
        .dout_2_6(dout_2_6),
        .dout_2_15(dout_2_Z[15]),
        .dout_2_7(dout_2_7),
        .dout_2_31(dout_2_Z[31]),
        .dout_2_30(dout_2_Z[30]),
        .dout_2_29(dout_2_Z[29]),
        .dout_2_28(dout_2_Z[28]),
        .dout_2_27(dout_2_Z[27]),
        .dout_2_26(dout_2_Z[26]),
        .dout_2_25(dout_2_Z[25]),
        .dout_2_24(dout_2_Z[24]),
        .dout_2_23(dout_2_Z[23]),
        .dout_2_22(dout_2_Z[22]),
        .dout_2_21(dout_2_Z[21]),
        .dout_2_20(dout_2_Z[20]),
        .dout_2_19(dout_2_Z[19]),
        .dout_2_18(dout_2_Z[18]),
        .dout_2_17(dout_2_Z[17]),
        .dout_2_16(dout_2_Z[16]),
        .dout_1_2_0(dout_1_2_0),
        .dout_1_2_1(dout_1_2_1),
        .dout_1_2_2(dout_1_2_2),
        .dout_1_2_3(dout_1_2_3),
        .dout_1_2_4(dout_1_2_4),
        .dout_1_2_5(dout_1_2_5),
        .dout_1_2_6(dout_1_2_6),
        .dout_1_2_7(dout_1_2_7),
        .dout_1_7(dout_1_7),
        .dout_1_6(dout_1_6),
        .dout_1_5(dout_1_5),
        .dout_1_4(dout_1_4),
        .dout_1_3(dout_1_3),
        .dout_1_2(dout_1_2),
        .dout_1_1(dout_1_1),
        .dout_1_0(dout_1_0),
        .wr_en_0_0_3(wr_en_0_0_3),
        .wr_en_0_0_0(wr_en_0_0_0),
        .wr_en_0_0_1(wr_en_0_0_1),
        .wr_en_0_0_2(wr_en_0_0_2),
        .dmem_ctl_o_3(dmem_ctl_o[3]),
        .dmem_ctl_o_2(dmem_ctl_o[2]),
        .dmem_ctl_o_1(dmem_ctl_o[1]),
        .dmem_ctl_o_0(dmem_ctl_o[0]),
        .c_1(c_1),
        .c_0(c_0),
        .c_31(c_31),
        .clk_c(clk_c)
);
// @14:114
  rf_stage iRF_stage (
        .dout_iv_1_0_29(dout_iv_1[29]),
        .dout_iv_1_0_28(dout_iv_1[28]),
        .dout_iv_1_0_12(dout_iv_1[12]),
        .dout_iv_1_0_17(dout_iv_1[17]),
        .dout_iv_1_0_15(dout_iv_1[15]),
        .dout_iv_1_0_23(dout_iv_1[23]),
        .dout_iv_1_0_21(dout_iv_1[21]),
        .dout_iv_1_0_25(dout_iv_1[25]),
        .dout_iv_1_0_2(dout_iv_1[2]),
        .dout_iv_1_0_1(dout_iv_1[1]),
        .dout_iv_1_0_5(dout_iv_1[5]),
        .dout_iv_1_0_3(dout_iv_1[3]),
        .dout_iv_1_0_8(dout_iv_1[8]),
        .dout_iv_1_0_7(dout_iv_1[7]),
        .dout_iv_1_0_6(dout_iv_1[6]),
        .dout_iv_1_0_9(dout_iv_1[9]),
        .dout_iv_1_0_20(dout_iv_1[20]),
        .dout_iv_1_0_10(dout_iv_1_10),
        .dout_iv_1_0_11(dout_iv_1_11),
        .dout_iv_1_0_24(dout_iv_1_24),
        .dout_iv_1_0_26(dout_iv_1_26),
        .dout_iv_1_0_14(dout_iv_1_14),
        .dout_iv_1_0_19(dout_iv_1_19),
        .dout_iv_1_0_18(dout_iv_1_18),
        .dout_iv_1_0_4(dout_iv_1_4),
        .dout_iv_1_0_30(dout_iv_1_30),
        .dout_iv_1_0_22(dout_iv_1_22),
        .dout_iv_1_0_13(dout_iv_1_13),
        .dout_iv_1_0_0(dout_iv_1_0_d0),
        .dout_iv_1_0_27(dout_iv_1_27),
        .dout_iv_1_0_16(dout_iv_1_16),
        .dout_iv_a_0(dout_iv_a[31]),
        .dout_iv_1_29(dout_iv_1_0[29]),
        .dout_iv_1_12(dout_iv_1_0[12]),
        .dout_iv_1_13(dout_iv_1_0[13]),
        .dout_iv_1_17(dout_iv_1_0[17]),
        .dout_iv_1_16(dout_iv_1_0[16]),
        .dout_iv_1_21(dout_iv_1_0[21]),
        .dout_iv_1_20(dout_iv_1_0[20]),
        .dout_iv_1_23(dout_iv_1_0[23]),
        .dout_iv_1_0(dout_iv_1_0[0]),
        .dout_iv_1_3(dout_iv_1_0[3]),
        .dout_iv_1_1(dout_iv_1_0[1]),
        .dout_iv_1_6(dout_iv_1_0[6]),
        .dout_iv_1_5(dout_iv_1_0[5]),
        .dout_iv_1_4(dout_iv_1_0[4]),
        .dout_iv_1_9(dout_iv_1_0[9]),
        .dout_iv_1_7(dout_iv_1_0[7]),
        .dout_iv_1_10(dout_iv_1_0_10),
        .dout_iv_1_28(dout_iv_1_0_28),
        .dout_iv_1_24(dout_iv_1_0_24),
        .dout_iv_1_22(dout_iv_1_0_22),
        .dout_iv_1_2(dout_iv_1_0_2),
        .dout_iv_1_11(dout_iv_1_0_11),
        .dout_iv_1_26(dout_iv_1_0_26),
        .dout_iv_1_14(dout_iv_1_0_14),
        .dout_iv_1_19(dout_iv_1_0_19),
        .dout_iv_1_31(dout_iv_1_31),
        .dout_iv_1_15(dout_iv_1_0_15),
        .dout_iv_1_25(dout_iv_1_0_25),
        .dout_iv_1_8(dout_iv_1_0_8),
        .dout_iv_1_18(dout_iv_1_0_18),
        .dout_iv_1_30(dout_iv_1_0_30),
        .dout_iv_1_27(dout_iv_1_0_27),
        .r32_o_4_10(r32_o_10),
        .r32_o_4_24(r32_o_24),
        .r32_o_4_4(r32_o_0_4),
        .r32_o_4_22(r32_o_22),
        .r32_o_4_9(r32_o_9),
        .r32_o_4_2(r32_o_2),
        .r32_o_4_11(r32_o_11),
        .r32_o_4_14(r32_o_14),
        .r32_o_4_23(r32_o_23),
        .r32_o_4_19(r32_o_19),
        .r32_o_4_7(r32_o_7_d0),
        .r32_o_4_20(r32_o_20),
        .r32_o_4_3(r32_o_3),
        .r32_o_4_1(r32_o_1),
        .r32_o_4_15(r32_o_15),
        .r32_o_4_13(r32_o_13),
        .r32_o_4_25(r32_o_25),
        .r32_o_4_0(r32_o_0_0),
        .r32_o_4_21(r32_o_21),
        .r32_o_4_8(r32_o_8_d0),
        .r32_o_4_12(r32_o_12),
        .r32_o_4_5(r32_o_5_d0),
        .r32_o_4_18(r32_o_18),
        .r32_o_4_16(r32_o_0_16),
        .r32_o_4_17(r32_o_17),
        .r32_o_4_6(r32_o_6_d0),
        .r5_o_4(r5_o[4]),
        .r5_o_3(r5_o[3]),
        .r5_o_2(r5_o[2]),
        .r5_o_1(r5_o[1]),
        .r5_o_0(r5_o[0]),
        .wb_o_31(wb_o_31),
        .wb_o_30(wb_o_30),
        .wb_o_29(wb_o[29]),
        .wb_o_28(wb_o_28),
        .wb_o_27(wb_o_27),
        .wb_o_26(wb_o_26),
        .wb_o_25(wb_o_25),
        .wb_o_24(wb_o_24),
        .wb_o_23(wb_o[23]),
        .wb_o_22(wb_o_22),
        .wb_o_21(wb_o[21]),
        .wb_o_20(wb_o[20]),
        .wb_o_19(wb_o_19),
        .wb_o_18(wb_o_18),
        .wb_o_17(wb_o[17]),
        .wb_o_16(wb_o_16),
        .wb_o_15(wb_o_15),
        .wb_o_14(wb_o_14),
        .wb_o_13(wb_o_13),
        .wb_o_12(wb_o[12]),
        .wb_o_11(wb_o_11),
        .wb_o_10(wb_o_10),
        .wb_o_9(wb_o[9]),
        .wb_o_8(wb_o_8),
        .wb_o_7(wb_o[7]),
        .wb_o_6(wb_o[6]),
        .wb_o_5(wb_o[5]),
        .wb_o_4(wb_o_4),
        .wb_o_3(wb_o[3]),
        .wb_o_2(wb_o_2),
        .wb_o_1(wb_o[1]),
        .wb_o_0(wb_o_0),
        .dout_31(dout_31),
        .dout_30(dout_30),
        .dout_29(dout_29),
        .dout_28(dout_28),
        .dout_27(dout_27),
        .dout_26(dout_26),
        .dout_25(dout_25),
        .dout_24(dout_24),
        .dout_23(dout_23),
        .dout_22(dout_22),
        .dout_21(dout_21),
        .dout_20(dout_20),
        .dout_19(dout_19),
        .dout_18(dout_18),
        .dout_17(dout_17),
        .dout_16(dout_16),
        .dout_15(dout_15),
        .dout_14(dout_14),
        .dout_13(dout_13),
        .dout_12(dout_12),
        .dout_11(dout_11),
        .dout_10(dout_10),
        .dout_9(dout_9),
        .dout_8(dout_8),
        .dout_7(dout_7),
        .dout_6(dout_6),
        .dout_5(dout_5),
        .dout_4(dout_4),
        .dout_3(dout_3),
        .dout_2(dout_2),
        .dout_1(dout_1),
        .dout_0(dout_0),
        .r32_o_3_29(r32_o_29),
        .r32_o_3_28(r32_o_28),
        .r32_o_3_26(r32_o_26),
        .r32_o_3_31(r32_o_31),
        .r32_o_3_30(r32_o_30),
        .r32_o_3_27(r32_o_27),
        .r32_o_3_25(r32_o_0[25]),
        .r32_o_3_24(r32_o_0[24]),
        .r32_o_3_23(r32_o_0[23]),
        .r32_o_3_22(r32_o_0[22]),
        .r32_o_3_21(r32_o_0[21]),
        .r32_o_3_20(r32_o_0[20]),
        .r32_o_3_19(r32_o_0[19]),
        .r32_o_3_18(r32_o_0[18]),
        .r32_o_3_17(r32_o_0[17]),
        .r32_o_3_16(r32_o_1_Z[16]),
        .r32_o_3_15(r32_o_0[15]),
        .r32_o_3_14(r32_o_0[14]),
        .r32_o_3_13(r32_o_0[13]),
        .r32_o_3_12(r32_o_0[12]),
        .r32_o_3_11(r32_o_0[11]),
        .r32_o_3_10(r32_o_0[10]),
        .r32_o_3_9(r32_o_0[9]),
        .r32_o_3_8(r32_o_0[8]),
        .r32_o_3_7(r32_o_0[7]),
        .r32_o_3_6(r32_o_0[6]),
        .r32_o_3_5(r32_o_0[5]),
        .r32_o_3_4(r32_o_1_Z[4]),
        .r32_o_3_3(r32_o_0[3]),
        .r32_o_3_2(r32_o_0[2]),
        .r32_o_3_1(r32_o_0[1]),
        .r32_o_3_0(r32_o_1_Z[0]),
        .r32_o_2_31(r32_o_0[31]),
        .r32_o_2_30(r32_o_0[30]),
        .r32_o_2_29(r32_o_0[29]),
        .r32_o_2_28(r32_o_0[28]),
        .r32_o_2_27(r32_o_0[27]),
        .r32_o_2_26(r32_o_0[26]),
        .r32_o_2_25(r32_o_1_Z[25]),
        .r32_o_2_24(r32_o_1_Z[24]),
        .r32_o_2_23(r32_o_1_Z[23]),
        .r32_o_2_22(r32_o_1_Z[22]),
        .r32_o_2_21(r32_o_1_Z[21]),
        .r32_o_2_20(r32_o_1_Z[20]),
        .r32_o_2_19(r32_o_1_Z[19]),
        .r32_o_2_18(r32_o_1_Z[18]),
        .r32_o_2_17(r32_o_1_Z[17]),
        .r32_o_2_16(r32_o_2_Z[16]),
        .r32_o_2_15(r32_o_1_Z[15]),
        .r32_o_2_14(r32_o_1_Z[14]),
        .r32_o_2_13(r32_o_1_Z[13]),
        .r32_o_2_12(r32_o_1_Z[12]),
        .r32_o_2_11(r32_o_1_Z[11]),
        .r32_o_2_10(r32_o_1_Z[10]),
        .r32_o_2_9(r32_o_1_Z[9]),
        .r32_o_2_8(r32_o_1_Z[8]),
        .r32_o_2_7(r32_o_1_Z[7]),
        .r32_o_2_6(r32_o_1_Z[6]),
        .r32_o_2_5(r32_o_1_Z[5]),
        .r32_o_2_4(r32_o_2_Z[4]),
        .r32_o_2_3(r32_o_1_Z[3]),
        .r32_o_2_2(r32_o_1_Z[2]),
        .r32_o_2_1(r32_o_1_Z[1]),
        .r32_o_2_0(r32_o_2_Z[0]),
        .wb_mux_ctl_o_0(wb_mux_ctl_o[0]),
        .wb_we_o_0(wb_we_o[0]),
        .reg_bank_m_0(reg_bank_m[31]),
        .res_7_0_0_a_27(res_7_0_0_a[27]),
        .res_7_0_0_a_23(res_7_0_0_a[23]),
        .res_7_0_0_a_21(res_7_0_0_a[21]),
        .res_7_0_0_a_20(res_7_0_0_a[20]),
        .res_7_0_0_a_22(res_7_0_0_a[22]),
        .res_7_0_0_a_24(res_7_0_0_a[24]),
        .res_7_0_0_a_26(res_7_0_0_a[26]),
        .res_7_0_0_a_19(res_7_0_0_a[19]),
        .res_7_0_0_a_18(res_7_0_0_a[18]),
        .res_7_0_0_a_25(res_7_0_0_a[25]),
        .res_7_0_0_a_17(res_7_0_0_a[17]),
        .res_7_0_0_a_0(res_7_0_0_a[0]),
        .res_7_0_0_a_1(res_7_0_0_a[1]),
        .res_7_0_0_a_5(res_7_0_0_a[5]),
        .ext_ctl_o_2(ext_ctl_o[2]),
        .ext_ctl_o_0(ext_ctl_o[0]),
        .ext_ctl_o_1(ext_ctl_o[1]),
        .res_7_0_0_a2_0_0_0(res_7_0_0_a2_0_0[16]),
        .res_7_0_0_a3_0(res_7_0_0_a3[18]),
        .dout_iv_0_18(dout_iv_18),
        .dout_iv_0_2(dout_iv_2),
        .dout_iv_0_19(dout_iv_19),
        .dout_iv_0_22(dout_iv_22),
        .dout_iv_0_24(dout_iv_24),
        .dout_iv_0_8(dout_iv_8),
        .dout_iv_0_25(dout_iv_25),
        .dout_iv_0_26(dout_iv_26),
        .dout_iv_0_10(dout_iv_10),
        .dout_iv_0_27(dout_iv_27),
        .dout_iv_0_11(dout_iv_11),
        .dout_iv_0_28(dout_iv_28),
        .dout_iv_0_30(dout_iv_30),
        .dout_iv_0_14(dout_iv_14),
        .dout_iv_0_31(dout_iv_31),
        .dout_iv_0_15(dout_iv_15),
        .cmp_ctl_o_0(cmp_ctl_o[0]),
        .cmp_ctl_o_2(cmp_ctl_o[2]),
        .cmp_ctl_o_1(cmp_ctl_o[1]),
        .pc_next_iv_a_25(pc_next_iv_a_25),
        .pc_next_iv_a_26(pc_next_iv_a_26),
        .pc_next_iv_a_22(pc_next_iv_a_22),
        .pc_next_iv_a_23(pc_next_iv_a_23),
        .pc_next_iv_a_27(pc_next_iv_a_27),
        .pc_next_iv_a_24(pc_next_iv_a_24),
        .pc_next_iv_a_21(pc_next_iv_a_21),
        .pc_next_iv_a_18(pc_next_iv_a_18),
        .pc_next_iv_a_19(pc_next_iv_a_19),
        .pc_next_iv_a_2(pc_next_iv_a[2]),
        .pc_next_iv_a_3(pc_next_iv_a[3]),
        .pc_next_iv_a_4(pc_next_iv_a[4]),
        .pc_next_iv_a_20(pc_next_iv_a_20),
        .pc_next_iv_a_10(pc_next_iv_a[10]),
        .pc_next_iv_a_11(pc_next_iv_a[11]),
        .pc_next_iv_a_7(pc_next_iv_a[7]),
        .pc_next_iv_a_9(pc_next_iv_a[9]),
        .pc_next_iv_a_17(pc_next_iv_a_17),
        .pc_next_iv_a_15(pc_next_iv_a[15]),
        .pc_next_iv_a_12(pc_next_iv_a[12]),
        .pc_next_iv_a_13(pc_next_iv_a[13]),
        .pc_next_iv_a_5(pc_next_iv_a[5]),
        .pc_next_iv_a_6(pc_next_iv_a[6]),
        .pc_next_iv_a_0(pc_next_iv_a[0]),
        .pc_next_iv_a_1(pc_next_iv_a[1]),
        .pc_next_iv_a_8(pc_next_iv_a[8]),
        .pc_next_iv_a_14(pc_next_iv_a[14]),
        .pc_next_iv_a_29(pc_next_iv_a_29),
        .pc_next_iv_a_28(pc_next_iv_a_28),
        .pc_next_iv_a_30(pc_next_iv_a_30),
        .pc_next_iv_a_31(pc_next_iv_a_31),
        .r32_o_1_31(r32_o_1_Z[31]),
        .r32_o_1_30(r32_o_1_Z[30]),
        .r32_o_1_29(r32_o_1_Z[29]),
        .r32_o_1_28(r32_o_1_Z[28]),
        .r32_o_1_27(r32_o_1_Z[27]),
        .r32_o_1_26(r32_o_1_Z[26]),
        .r32_o_1_13(r32_o_2_Z[13]),
        .r32_o_1_12(r32_o_2_Z[12]),
        .r32_o_1_25(r32_o_2_Z[25]),
        .r32_o_1_11(r32_o_2_Z[11]),
        .r32_o_1_21(r32_o_2_Z[21]),
        .r32_o_1_7(r32_o_2_Z[7]),
        .r32_o_1_19(r32_o_2_Z[19]),
        .r32_o_1_5(r32_o_2_Z[5]),
        .r32_o_1_18(r32_o_2_Z[18]),
        .r32_o_1_4(r32_o_3_Z[4]),
        .r32_o_1_20(r32_o_2_Z[20]),
        .r32_o_1_6(r32_o_2_Z[6]),
        .r32_o_1_22(r32_o_2_Z[22]),
        .r32_o_1_8(r32_o_2_Z[8]),
        .r32_o_1_24(r32_o_2_Z[24]),
        .r32_o_1_10(r32_o_2_Z[10]),
        .r32_o_1_17(r32_o_2_Z[17]),
        .r32_o_1_3(r32_o_2_Z[3]),
        .r32_o_1_16(r32_o_3_Z[16]),
        .r32_o_1_23(r32_o_2_Z[23]),
        .r32_o_1_9(r32_o_2_Z[9]),
        .r32_o_1_15(r32_o_2_Z[15]),
        .r32_o_1_0(r32_o_3_Z[0]),
        .r32_o_1_2(r32_o_2_Z[2]),
        .r32_o_1_1(r32_o_2_Z[1]),
        .r32_o_1_14(r32_o_2_Z[14]),
        .dout_iv_26(dout_iv_0_16),
        .dout_iv_22(dout_iv_0_12),
        .dout_iv_27(dout_iv_0_17),
        .dout_iv_24(dout_iv_0_14),
        .dout_iv_18(dout_iv_0_8),
        .dout_iv_19(dout_iv_0_9),
        .dout_iv_4(dout_iv_4),
        .dout_iv_16(dout_iv_16),
        .dout_iv_10(dout_iv_0_0),
        .dout_iv_11(dout_iv_0_1),
        .dout_iv_13(dout_iv_13),
        .dout_iv_0_d0(dout_iv_0_d0),
        .dout_iv_14(dout_iv_0_4),
        .dout_iv_30(dout_iv_0_20),
        .pc_next_iv_1(pc_next_iv_2),
        .pc_next_iv_2(pc_next_iv_3),
        .pc_next_iv_3(pc_next_iv_4),
        .pc_next_iv_9(pc_next_iv_10),
        .pc_next_iv_10(pc_next_iv_11),
        .pc_next_iv_6(pc_next_iv_7),
        .pc_next_iv_8(pc_next_iv_9),
        .pc_next_iv_14(pc_next_iv_15),
        .pc_next_iv_11(pc_next_iv_12),
        .pc_next_iv_12(pc_next_iv_13),
        .pc_next_iv_4(pc_next_iv_5),
        .pc_next_iv_5(pc_next_iv_6),
        .pc_next_iv_0(pc_next_iv_1),
        .pc_next_iv_7(pc_next_iv_8),
        .pc_next_iv_13(pc_next_iv_14),
        .pc_next_iv_0_2(pc_next_iv_0_Z[2]),
        .pc_next_iv_0_3(pc_next_iv_0_Z[3]),
        .pc_next_iv_0_4(pc_next_iv_0_Z[4]),
        .pc_next_iv_0_10(pc_next_iv_0_Z[10]),
        .pc_next_iv_0_11(pc_next_iv_0_Z[11]),
        .pc_next_iv_0_7(pc_next_iv_0_Z[7]),
        .pc_next_iv_0_9(pc_next_iv_0_Z[9]),
        .pc_next_iv_0_15(pc_next_iv_0_Z[15]),
        .pc_next_iv_0_12(pc_next_iv_0_Z[12]),
        .pc_next_iv_0_13(pc_next_iv_0_Z[13]),
        .pc_next_iv_0_5(pc_next_iv_0_Z[5]),
        .pc_next_iv_0_6(pc_next_iv_0_Z[6]),
        .pc_next_iv_0_1(pc_next_iv_0_Z[1]),
        .pc_next_iv_0_8(pc_next_iv_0_Z[8]),
        .pc_next_iv_0_14(pc_next_iv_0_Z[14]),
        .pc_next_iv_0_27(pc_next_iv_0_27),
        .pc_next_iv_0_26(pc_next_iv_0_26),
        .pc_next_iv_0_25(pc_next_iv_0_25),
        .pc_next_iv_0_24(pc_next_iv_0_24),
        .pc_next_iv_0_23(pc_next_iv_0_23),
        .pc_next_iv_0_22(pc_next_iv_0_22),
        .pc_next_iv_0_21(pc_next_iv_0_21),
        .pc_next_iv_0_20(pc_next_iv_0_20),
        .pc_next_iv_0_19(pc_next_iv_0_19),
        .pc_next_iv_0_18(pc_next_iv_0_18),
        .pc_next_iv_0_17(pc_next_iv_0_17),
        .pc_next_iv_0_0_d0(pc_next_iv_0_Z[0]),
        .pc_next_iv_0_31(pc_next_iv_0_31),
        .pc_next_iv_0_30(pc_next_iv_0_30),
        .pc_next_iv_0_29(pc_next_iv_0_29),
        .pc_next_iv_0_28(pc_next_iv_0_28),
        .pc_next_iv_0_a_0(pc_next_iv_0_a_0),
        .irq_addr_o_27(irq_addr_o_27),
        .irq_addr_o_26(irq_addr_o_26),
        .irq_addr_o_25(irq_addr_o_25),
        .irq_addr_o_24(irq_addr_o_24),
        .irq_addr_o_23(irq_addr_o_23),
        .irq_addr_o_22(irq_addr_o_22),
        .irq_addr_o_21(irq_addr_o_21),
        .irq_addr_o_20(irq_addr_o_20),
        .irq_addr_o_19(irq_addr_o_19),
        .irq_addr_o_18(irq_addr_o_18),
        .irq_addr_o_16(irq_addr_o_16),
        .irq_addr_o_4(irq_addr_o_4),
        .irq_addr_o_3(irq_addr_o_3),
        .irq_addr_o_2(irq_addr_o_2),
        .irq_addr_o_17(irq_addr_o_17),
        .irq_addr_o_15(irq_addr_o_15),
        .irq_addr_o_14(irq_addr_o_14),
        .irq_addr_o_13(irq_addr_o_13),
        .irq_addr_o_12(irq_addr_o_12),
        .irq_addr_o_11(irq_addr_o_11),
        .irq_addr_o_10(irq_addr_o_10),
        .irq_addr_o_9(irq_addr_o_9),
        .irq_addr_o_8(irq_addr_o_8),
        .irq_addr_o_7(irq_addr_o_7),
        .irq_addr_o_6(irq_addr_o_6),
        .irq_addr_o_5(irq_addr_o_5),
        .irq_addr_o_1(irq_addr_o_1),
        .irq_addr_o_0(irq_addr_o_0),
        .irq_addr_o_31(irq_addr_o_31),
        .irq_addr_o_30(irq_addr_o_30),
        .irq_addr_o_29(irq_addr_o_29),
        .irq_addr_o_28(irq_addr_o_28),
        .res_7_0_0_27(res_7_0_0[27]),
        .res_7_0_0_26(res_7_0_0[26]),
        .res_7_0_0_25(res_7_0_0[25]),
        .res_7_0_0_24(res_7_0_0[24]),
        .res_7_0_0_23(res_7_0_0[23]),
        .res_7_0_0_22(res_7_0_0[22]),
        .res_7_0_0_21(res_7_0_0[21]),
        .res_7_0_0_20(res_7_0_0[20]),
        .res_7_0_0_19(res_7_0_0[19]),
        .res_7_0_0_18(res_7_0_0[18]),
        .res_7_0_0_16(res_7_0_0[16]),
        .res_7_0_0_4(res_7_0_0[4]),
        .res_7_0_0_3(res_7_0_0[3]),
        .res_7_0_0_2(res_7_0_0[2]),
        .res_7_0_0_17(res_7_0_0[17]),
        .res_7_0_0_15(res_7_0_0[15]),
        .res_7_0_0_14(res_7_0_0[14]),
        .res_7_0_0_13(res_7_0_0[13]),
        .res_7_0_0_12(res_7_0_0[12]),
        .res_7_0_0_11(res_7_0_0[11]),
        .res_7_0_0_10(res_7_0_0[10]),
        .res_7_0_0_9(res_7_0_0[9]),
        .res_7_0_0_8(res_7_0_0[8]),
        .res_7_0_0_7(res_7_0_0[7]),
        .res_7_0_0_6(res_7_0_0[6]),
        .res_7_0_0_5(res_7_0_0[5]),
        .res_7_0_0_1(res_7_0_0[1]),
        .res_7_0_0_0(res_7_0_0[0]),
        .res_7_0_0_29(res_7_0_0[29]),
        .res_7_0_0_30(res_7_0_0[30]),
        .res_7_0_0_28(res_7_0_0[28]),
        .res_7_0_0_31(res_7_0_0[31]),
        .pc_next_iv_0_0_0(pc_next_iv_0_0_0),
        .pc_gen_ctl_o_2(pc_gen_ctl_o[2]),
        .pc_gen_ctl_o_0(pc_gen_ctl_o[0]),
        .pc_gen_ctl_o_1(pc_gen_ctl_o[1]),
        .r32_o_0_25(r32_o_3_Z[25]),
        .r32_o_0_26(r32_o_2_Z[26]),
        .r32_o_0_22(r32_o_3_Z[22]),
        .r32_o_0_23(r32_o_3_Z[23]),
        .r32_o_0_27(r32_o_2_Z[27]),
        .r32_o_0_24(r32_o_3_Z[24]),
        .r32_o_0_21(r32_o_3_Z[21]),
        .r32_o_0_18(r32_o_3_Z[18]),
        .r32_o_0_19(r32_o_3_Z[19]),
        .r32_o_0_3(r32_o_3_Z[3]),
        .r32_o_0_4(r32_o_4[4]),
        .r32_o_0_16(r32_o_4[16]),
        .r32_o_0_20(r32_o_3_Z[20]),
        .r32_o_0_10(r32_o_3_Z[10]),
        .r32_o_0_11(r32_o_3_Z[11]),
        .r32_o_0_7(r32_o_3_Z[7]),
        .r32_o_0_9(r32_o_3_Z[9]),
        .r32_o_0_17(r32_o_3_Z[17]),
        .r32_o_0_15(r32_o_3_Z[15]),
        .r32_o_0_12(r32_o_3_Z[12]),
        .r32_o_0_13(r32_o_3_Z[13]),
        .r32_o_0_5(r32_o_3_Z[5]),
        .r32_o_0_6(r32_o_3_Z[6]),
        .r32_o_0_0(r32_o_4[0]),
        .r32_o_0_8(r32_o_3_Z[8]),
        .r32_o_0_29(r32_o_2_Z[29]),
        .r32_o_0_28(r32_o_2_Z[28]),
        .r32_o_0_30(r32_o_2_Z[30]),
        .r32_o_0_31(r32_o_2_Z[31]),
        .r32_o_0_14(r32_o_3_Z[14]),
        .r32_o_0_2(r32_o_3_Z[2]),
        .r32_o_0_1(r32_o_3_Z[1]),
        .res_7_0_0_0_0(res_7_0_0_0[2]),
        .res_7_0_0_0_14(res_7_0_0_0[16]),
        .res_7_0_0_0_2(res_7_0_0_0[4]),
        .res_7_0_0_0_1(res_7_0_0_0[3]),
        .res_7_0_0_o3_0(res_7_0_0_o3[2]),
        .r32_o_31(r32_o_3_Z[31]),
        .r32_o_30(r32_o_3_Z[30]),
        .r32_o_29(r32_o_3_Z[29]),
        .r32_o_28(r32_o_3_Z[28]),
        .r32_o_27(r32_o_3_Z[27]),
        .r32_o_26(r32_o_3_Z[26]),
        .r32_o_25(r32_o_4[25]),
        .r32_o_24(r32_o_4[24]),
        .r32_o_23(r32_o_4[23]),
        .r32_o_22(r32_o_4[22]),
        .r32_o_21(r32_o_4[21]),
        .r32_o_20(r32_o_4[20]),
        .r32_o_19(r32_o_4[19]),
        .r32_o_18(r32_o_4[18]),
        .r32_o_17(r32_o_4[17]),
        .r32_o_16(r32_o_5[16]),
        .r32_o_15(r32_o_4[15]),
        .r32_o_13(r32_o_4[13]),
        .r32_o_12(r32_o_4[12]),
        .r32_o_11(r32_o_4[11]),
        .r32_o_10(r32_o_4[10]),
        .r32_o_9(r32_o_4[9]),
        .r32_o_8(r32_o_4[8]),
        .r32_o_7(r32_o_4[7]),
        .r32_o_6(r32_o_4[6]),
        .r32_o_5(r32_o_4[5]),
        .r32_o_4(r32_o_5[4]),
        .r32_o_3(r32_o_4[3]),
        .r32_o_0(r32_o_5[0]),
        .r32_o_14(r32_o_4[14]),
        .r32_o_2(r32_o_4[2]),
        .r32_o_1(r32_o_4[1]),
        .CurrState_Sreg0_2(CurrState_Sreg0[3]),
        .zz_ins_i_c_1(zz_ins_i_c_1),
        .zz_ins_i_c_2(zz_ins_i_c_2),
        .zz_ins_i_c_5(zz_ins_i_c_5),
        .zz_ins_i_c_6(zz_ins_i_c_6),
        .zz_ins_i_c_9(zz_ins_i_c_9),
        .zz_ins_i_c_10(zz_ins_i_c_10),
        .zz_ins_i_c_13(zz_ins_i_c_13),
        .zz_ins_i_c_14(zz_ins_i_c_14),
        .zz_ins_i_c_17(zz_ins_i_c_17),
        .zz_ins_i_c_18(zz_ins_i_c_18),
        .zz_ins_i_c_21(zz_ins_i_c_21),
        .zz_ins_i_c_22(zz_ins_i_c_22),
        .zz_ins_i_c_25(zz_ins_i_c_25),
        .zz_ins_i_c_0(zz_ins_i_c_0),
        .zz_ins_i_c_3(zz_ins_i_c_3),
        .zz_ins_i_c_4(zz_ins_i_c_4),
        .zz_ins_i_c_7(zz_ins_i_c_7),
        .zz_ins_i_c_8(zz_ins_i_c_8),
        .zz_ins_i_c_11(zz_ins_i_c_11),
        .zz_ins_i_c_12(zz_ins_i_c_12),
        .zz_ins_i_c_15(zz_ins_i_c_15),
        .zz_ins_i_c_16(zz_ins_i_c_16),
        .zz_ins_i_c_19(zz_ins_i_c_19),
        .zz_ins_i_c_20(zz_ins_i_c_20),
        .zz_ins_i_c_24(zz_ins_i_c_24),
        .zz_ins_i_c_23(zz_ins_i_c_23),
        .pc_gen_ctl_0_0_a2_2_0(pc_gen_ctl_0_0_a2_2[2]),
        .dout7_0_a2_0_a2_0_a2_0_a2_0(dout7_0_a2_0_a2_0_a2_0_a2),
        .un16_mux_fw_0(un16_mux_fw_0),
        .mux_fw_1_0(mux_fw_1),
        .dout7_0_a2_0_a2_0_a2_0_a2(dout7_0_a2_0_a2_0_a2_0_a2_0),
        .un32_mux_fw_a(un32_mux_fw_a),
        .un30_mux_fw(un30_mux_fw),
        .mux_fw_1(mux_fw_1_0),
        .un32_mux_fw_0(un32_mux_fw),
        .un32_mux_fw(un32_mux_fw_0),
        .un1_pc_next46_0(un1_pc_next46_0),
        .un1_pc_add2(un1_pc_add2),
        .un1_pc_add3(un1_pc_add3),
        .un1_pc_add4(un1_pc_add4),
        .un1_pc_add10(un1_pc_add10),
        .un1_pc_add11(un1_pc_add11),
        .un1_pc_add7(un1_pc_add7),
        .un1_pc_add9(un1_pc_add9),
        .un1_pc_add15(un1_pc_add15),
        .un1_pc_add12(un1_pc_add12),
        .un1_pc_add13(un1_pc_add13),
        .un1_pc_add5(un1_pc_add5),
        .un1_pc_add6(un1_pc_add6),
        .un1_pc_add1(un1_pc_add1),
        .un1_pc_add8(un1_pc_add8),
        .un1_pc_add14(un1_pc_add14),
        .un1_pc_add31(un1_pc_add31),
        .un1_pc_add30(un1_pc_add30),
        .un1_pc_add29(un1_pc_add29),
        .un1_pc_add28(un1_pc_add28),
        .un1_pc_add27(un1_pc_add27),
        .un1_pc_add26(un1_pc_add26),
        .un1_pc_add25(un1_pc_add25),
        .un1_pc_add24(un1_pc_add24),
        .un1_pc_add23(un1_pc_add23),
        .un1_pc_add22(un1_pc_add22),
        .un1_pc_add21(un1_pc_add21),
        .un1_pc_add20(un1_pc_add20),
        .un1_pc_add19(un1_pc_add19),
        .un1_pc_add18(un1_pc_add18),
        .un1_pc_add17(un1_pc_add17),
        .un1_pc_add16(un1_pc_add16),
        .un1_pc_add0(un1_pc_add0),
        .iack_1(iack_1),
        .NET1572_i_i(NET1572_i_i),
        .clk_c(clk_c),
        .fsm_dly_1_1_0_0(fsm_dly_1_1_0_0),
        .rst_c(rst_c),
        .irq_req_o(irq_req_o),
        .fsm_dly_2_1_0_0(fsm_dly_2_1_0_0),
        .fsm_dly_0_1_0_0(fsm_dly_0_1_0_0),
        .fsm_dly_1_1_0_0_a2_0(fsm_dly_1_1_0_0_a2_0),
        .NET1606_i(NET1606_i),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2)
);
// @14:150
  exec_stage iexec_stage (
        .muxb_ctl_o_1(muxb_ctl_o[1]),
        .muxb_ctl_o_0(muxb_ctl_o[0]),
        .r32_o_5_28(r32_o_3_Z[30]),
        .r32_o_5_26(r32_o_3_Z[28]),
        .r32_o_5_24(r32_o_3_Z[26]),
        .r32_o_5_22(r32_o_4[24]),
        .r32_o_5_20(r32_o_4[22]),
        .r32_o_5_18(r32_o_4[20]),
        .r32_o_5_16(r32_o_4[18]),
        .r32_o_5_14(r32_o_5[16]),
        .r32_o_5_12(r32_o_4[14]),
        .r32_o_5_10(r32_o_4[12]),
        .r32_o_5_8(r32_o_4[10]),
        .r32_o_5_6(r32_o_4[8]),
        .r32_o_5_4(r32_o_4[6]),
        .r32_o_5_2(r32_o_5[4]),
        .r32_o_5_29(r32_o_3_Z[31]),
        .r32_o_5_27(r32_o_3_Z[29]),
        .r32_o_5_25(r32_o_3_Z[27]),
        .r32_o_5_23(r32_o_4[25]),
        .r32_o_5_21(r32_o_4[23]),
        .r32_o_5_19(r32_o_4[21]),
        .r32_o_5_17(r32_o_4[19]),
        .r32_o_5_15(r32_o_4[17]),
        .r32_o_5_13(r32_o_4[15]),
        .r32_o_5_11(r32_o_4[13]),
        .r32_o_5_9(r32_o_4[11]),
        .r32_o_5_7(r32_o_4[9]),
        .r32_o_5_5(r32_o_4[7]),
        .r32_o_5_3(r32_o_4[5]),
        .r32_o_5_0(r32_o_2_Z[2]),
        .r32_o_5_1(r32_o_3_Z[3]),
        .r32_o_4_0(r32_o_6_0),
        .r32_o_4_1(r32_o_5_1),
        .r32_o_4_4(r32_o_4[4]),
        .r32_o_4_18(r32_o_3_Z[18]),
        .r32_o_4_23(r32_o_5[23]),
        .r32_o_4_19(r32_o_5[19]),
        .r32_o_4_16(r32_o_6[16]),
        .r32_o_4_2(r32_o_5[2]),
        .r32_o_4_3(r32_o_5[3]),
        .wb_we_o_0_0(wb_we_o_0[0]),
        .muxa_ctl_o_1(muxa_ctl_o[1]),
        .muxa_ctl_o_0(muxa_ctl_o[0]),
        .r32_o_3_0(r32_o_5[0]),
        .r32_o_3_1(r32_o_4[1]),
        .r32_o_3_5(r32_o_5_5),
        .r32_o_3_6(r32_o_5_6),
        .r32_o_3_7(r32_o_5_7),
        .r32_o_3_8(r32_o_5_8),
        .r32_o_3_9(r32_o_5_9),
        .r32_o_3_10(r32_o_5_10),
        .r32_o_3_11(r32_o_5_11),
        .r32_o_3_12(r32_o_5_12),
        .r32_o_3_13(r32_o_5_13),
        .r32_o_3_14(r32_o_5_14),
        .r32_o_3_15(r32_o_5_15),
        .r32_o_3_17(r32_o_5_17),
        .r32_o_3_21(r32_o_5_21),
        .r32_o_3_22(r32_o_5_22),
        .r32_o_3_24(r32_o_5_24),
        .r32_o_3_25(r32_o_5_25),
        .r32_o_3_26(r32_o_4_26),
        .r32_o_3_27(r32_o_4_27),
        .r32_o_3_28(r32_o_4_28),
        .r32_o_3_29(r32_o_4_29),
        .r32_o_3_30(r32_o_4_30),
        .r32_o_3_31(r32_o_4_31),
        .r32_o_3_20(r32_o_5_20),
        .r32_o_3_4(r32_o_6[4]),
        .r32_o_3_18(r32_o_5[18]),
        .r32_o_3_23(r32_o_6_23),
        .r32_o_3_16(r32_o_7_16),
        .r32_o_3_19(r32_o_6_19),
        .r32_o_3_2(r32_o_6_2),
        .r32_o_3_3(r32_o_6_3),
        .r32_o_2_0(r32_o_4[0]),
        .r32_o_2_1(r32_o_2_Z[1]),
        .r32_o_2_5(r32_o_3_Z[5]),
        .r32_o_2_6(r32_o_3_Z[6]),
        .r32_o_2_7(r32_o_3_Z[7]),
        .r32_o_2_8(r32_o_3_Z[8]),
        .r32_o_2_9(r32_o_3_Z[9]),
        .r32_o_2_10(r32_o_3_Z[10]),
        .r32_o_2_11(r32_o_3_Z[11]),
        .r32_o_2_12(r32_o_3_Z[12]),
        .r32_o_2_13(r32_o_3_Z[13]),
        .r32_o_2_14(r32_o_2_Z[14]),
        .r32_o_2_15(r32_o_3_Z[15]),
        .r32_o_2_17(r32_o_3_Z[17]),
        .r32_o_2_20(r32_o_3_Z[20]),
        .r32_o_2_21(r32_o_3_Z[21]),
        .r32_o_2_22(r32_o_3_Z[22]),
        .r32_o_2_24(r32_o_3_Z[24]),
        .r32_o_2_25(r32_o_3_Z[25]),
        .r32_o_2_26(r32_o_2_Z[26]),
        .r32_o_2_27(r32_o_2_Z[27]),
        .r32_o_2_28(r32_o_2_Z[28]),
        .r32_o_2_29(r32_o_2_Z[29]),
        .r32_o_2_30(r32_o_2_Z[30]),
        .r32_o_2_31(r32_o_2_Z[31]),
        .r32_o_2_4(r32_o_7_4),
        .r32_o_2_18(r32_o_6_18),
        .wb_we_o_0(wb_we_o[0]),
        .q_0(q[4]),
        .r5_o_0(r5_o[4]),
        .dout_2_a_0(dout_2_a[0]),
        .dout_2_a_1(dout_2_a[1]),
        .dout_2_a_2(dout_2_a[2]),
        .dout_2_a_3(dout_2_a[3]),
        .dout_2_a_4(dout_2_a[4]),
        .dout_2_a_5(dout_2_a[5]),
        .dout_2_a_6(dout_2_a[6]),
        .dout_2_a_7(dout_2_a[7]),
        .dout_2_a_8(dout_2_a[8]),
        .dout_2_a_9(dout_2_a[9]),
        .dout_2_a_10(dout_2_a[10]),
        .dout_2_a_11(dout_2_a[11]),
        .dout_2_a_12(dout_2_a[12]),
        .dout_2_a_13(dout_2_a[13]),
        .dout_2_a_14(dout_2_a[14]),
        .dout_2_a_15(dout_2_a[15]),
        .dout_2_a_16(dout_2_a[16]),
        .dout_2_a_17(dout_2_a[17]),
        .dout_2_a_18(dout_2_a[18]),
        .dout_2_a_19(dout_2_a[19]),
        .dout_2_a_20(dout_2_a[20]),
        .dout_2_a_21(dout_2_a[21]),
        .dout_2_a_22(dout_2_a[22]),
        .dout_2_a_23(dout_2_a[23]),
        .dout_2_a_24(dout_2_a[24]),
        .dout_2_a_25(dout_2_a[25]),
        .dout_2_a_26(dout_2_a[26]),
        .dout_2_a_27(dout_2_a[27]),
        .dout_2_a_28(dout_2_a[28]),
        .dout_2_a_29(dout_2_a[29]),
        .dout_2_a_30(dout_2_a[30]),
        .dout_2_a_31(dout_2_a[31]),
        .r32_o_1_0(r32_o_7[0]),
        .r32_o_1_1(r32_o_6[1]),
        .r32_o_1_5(r32_o_6[5]),
        .r32_o_1_6(r32_o_6[6]),
        .r32_o_1_7(r32_o_6[7]),
        .r32_o_1_8(r32_o_6[8]),
        .r32_o_1_9(r32_o_6[9]),
        .r32_o_1_10(r32_o_6[10]),
        .r32_o_1_11(r32_o_6[11]),
        .r32_o_1_12(r32_o_6[12]),
        .r32_o_1_13(r32_o_6[13]),
        .r32_o_1_14(r32_o_6[14]),
        .r32_o_1_15(r32_o_6[15]),
        .r32_o_1_17(r32_o_6[17]),
        .r32_o_1_20(r32_o_6[20]),
        .r32_o_1_21(r32_o_6[21]),
        .r32_o_1_22(r32_o_6[22]),
        .r32_o_1_24(r32_o_6[24]),
        .r32_o_1_25(r32_o_6[25]),
        .r32_o_1_26(r32_o_5[26]),
        .r32_o_1_27(r32_o_5[27]),
        .r32_o_1_28(r32_o_5[28]),
        .r32_o_1_29(r32_o_5[29]),
        .r32_o_1_30(r32_o_5[30]),
        .r32_o_1_31(r32_o_5[31]),
        .r32_o_1_23(r32_o_3_Z[23]),
        .r32_o_1_16(r32_o_4[16]),
        .r32_o_1_19(r32_o_3_Z[19]),
        .r32_o_1_2(r32_o_7_2),
        .r32_o_1_3(r32_o_7_3),
        .c_0(c_31),
        .c_a_30(c_a[31]),
        .c_a_5(c_a[6]),
        .c_a_4(c_a[5]),
        .c_a_16(c_a[17]),
        .c_a_8(c_a[9]),
        .c_a_7(c_a[8]),
        .c_a_1(c_a[2]),
        .c_a_22(c_a[23]),
        .c_a_19(c_a[20]),
        .c_a_11(c_a[12]),
        .c_a_10(c_a[11]),
        .c_a_25(c_a[26]),
        .c_a_6(c_a[7]),
        .c_a_0(c_a[1]),
        .c_a_3(c_a[4]),
        .c_a_13(c_a[14]),
        .shift_out_92_2_0_3(shift_out_92_2_0[31]),
        .shift_out_92_2_0_2(shift_out_92_2_0[30]),
        .shift_out_92_2_0_1(shift_out_92_2_0[29]),
        .shift_out_92_2_0_0(shift_out_92_2_0[28]),
        .c_1_31(c_1_Z[31]),
        .c_1_0(c_1_0),
        .c_1_3(c_1_Z[3]),
        .c_1_27(c_1_Z[27]),
        .c_1_1(c_1_Z[1]),
        .c_1_4(c_1_Z[4]),
        .c_1_25(c_1_Z[25]),
        .c_1_6(c_1_Z[6]),
        .c_1_26(c_1_Z[26]),
        .c_1_10(c_1_Z[10]),
        .c_1_9(c_1_Z[9]),
        .c_1_30(c_1_Z[30]),
        .c_1_8(c_1_Z[8]),
        .c_1_12(c_1_Z[12]),
        .c_1_28(c_1_Z[28]),
        .c_1_18(c_1_Z[18]),
        .c_1_13(c_1_Z[13]),
        .c_1_29(c_1_Z[29]),
        .c_1_14(c_1_Z[14]),
        .c_1_17(c_1_Z[17]),
        .c_1_20(c_1_Z[20]),
        .c_1_2(c_1_Z[2]),
        .c_1_5(c_1_Z[5]),
        .c_1_15(c_1_Z[15]),
        .c_1_21(c_1_Z[21]),
        .c_1_16(c_1_Z[16]),
        .c_1_19(c_1_Z[19]),
        .c_3_0(c_3_Z[11]),
        .c_3_13(c_3_Z[24]),
        .c_3_12(c_3_Z[23]),
        .c_4_0(c_4_Z[22]),
        .alu_func_o_3(alu_func_o_3),
        .alu_func_o_2(alu_func_o[2]),
        .alu_func_o_0(alu_func_o[0]),
        .alu_func_o_4(alu_func_o[4]),
        .alu_func_o_1(alu_func_o[1]),
        .b_o_iv_11(b_o_iv_11),
        .b_o_iv_22(b_o_iv_22),
        .b_o_iv_0_d0(b_o_iv_0_d0),
        .b_o_iv_1(b_o_iv_1),
        .b_o_iv_2(b_o_iv_2),
        .b_o_iv_3(b_o_iv_3),
        .b_o_iv_4(b_o_iv_4),
        .b_o_iv_5(b_o_iv_5),
        .b_o_iv_6(b_o_iv_6),
        .b_o_iv_7(b_o_iv_7),
        .b_o_iv_8(b_o_iv_8),
        .b_o_iv_9(b_o_iv_9),
        .b_o_iv_10(b_o_iv_10),
        .b_o_iv_13(b_o_iv_13),
        .b_o_iv_14(b_o_iv_14),
        .b_o_iv_15(b_o_iv_15),
        .b_o_iv_16(b_o_iv_16),
        .b_o_iv_17(b_o_iv_17),
        .b_o_iv_18(b_o_iv_18),
        .b_o_iv_19(b_o_iv_19),
        .b_o_iv_20(b_o_iv_20),
        .b_o_iv_31(b_o_iv_31),
        .c_0_0(c_0_Z[7]),
        .hilo_0(hilo_0),
        .BUS7117_i_m_0(BUS7117_i_m_0),
        .BUS7117_i_m_4(BUS7117_i_m_4),
        .BUS7117_i_m_16(BUS7117_i_m_16),
        .b_o_iv_0_a5_0(b_o_iv_0_a5_0),
        .b_o_iv_0_a_0(b_o_iv_0_a_0),
        .b_o_iv_0_a_15(b_o_iv_0_a_15),
        .b_o_iv_0_a_16(b_o_iv_0_a_16),
        .wb_o_23(wb_o[23]),
        .wb_o_19(wb_o_19),
        .wb_o_1(wb_o[1]),
        .wb_o_2(wb_o_2),
        .wb_o_3(wb_o[3]),
        .wb_o_5(wb_o[5]),
        .wb_o_6(wb_o[6]),
        .wb_o_7(wb_o[7]),
        .wb_o_8(wb_o_8),
        .wb_o_9(wb_o[9]),
        .wb_o_10(wb_o_10),
        .wb_o_11(wb_o_11),
        .wb_o_12(wb_o[12]),
        .wb_o_13(wb_o_13),
        .wb_o_14(wb_o_14),
        .wb_o_15(wb_o_15),
        .wb_o_17(wb_o[17]),
        .wb_o_18(wb_o_18),
        .wb_o_20(wb_o[20]),
        .wb_o_21(wb_o[21]),
        .wb_o_22(wb_o_22),
        .wb_o_24(wb_o_24),
        .wb_o_25(wb_o_25),
        .wb_o_26(wb_o_26),
        .wb_o_27(wb_o_27),
        .wb_o_29(wb_o[29]),
        .wb_o_30(wb_o_30),
        .wb_o_31(wb_o_31),
        .wb_o_0(wb_o_0),
        .wb_o_4(wb_o_4),
        .wb_o_16(wb_o_16),
        .wb_o_28(wb_o_28),
        .b_o_iv_0_0(b_o_iv_0_0),
        .b_o_iv_a_0(b_o_iv_a_0),
        .b_o_iv_a_1(b_o_iv_a_1),
        .b_o_iv_a_2(b_o_iv_a_2),
        .b_o_iv_a_3(b_o_iv_a_3),
        .b_o_iv_a_4(b_o_iv_a_4),
        .b_o_iv_a_5(b_o_iv_a_5),
        .b_o_iv_a_6(b_o_iv_a_6),
        .b_o_iv_a_7(b_o_iv_a_7),
        .b_o_iv_a_8(b_o_iv_a_8),
        .b_o_iv_a_9(b_o_iv_a_9),
        .b_o_iv_a_10(b_o_iv_a_10),
        .b_o_iv_a_11(b_o_iv_a_11),
        .b_o_iv_a_13(b_o_iv_a_13),
        .b_o_iv_a_14(b_o_iv_a_14),
        .b_o_iv_a_15(b_o_iv_a_15),
        .b_o_iv_a_16(b_o_iv_a_16),
        .b_o_iv_a_17(b_o_iv_a_17),
        .b_o_iv_a_18(b_o_iv_a_18),
        .b_o_iv_a_19(b_o_iv_a_19),
        .b_o_iv_a_20(b_o_iv_a_20),
        .b_o_iv_a_21(b_o_iv_a_21),
        .b_o_iv_a_22(b_o_iv_a_22),
        .b_o_iv_a_23(b_o_iv_a_23),
        .b_o_iv_a_24(b_o_iv_a_24),
        .b_o_iv_a_25(b_o_iv_a_25),
        .b_o_iv_a_26(b_o_iv_a_26),
        .b_o_iv_a_29(b_o_iv_a_29),
        .b_o_iv_a_30(b_o_iv_a_30),
        .b_o_iv_a_31(b_o_iv_a_31),
        .BUS15471_i_m_0(BUS15471_i_m_0),
        .BUS15471_i_m_1(BUS15471_i_m_1),
        .BUS15471_i_m_2(BUS15471_i_m_2),
        .BUS15471_i_m_4(BUS15471_i_m_4),
        .BUS15471_i_m_5(BUS15471_i_m_5),
        .BUS15471_i_m_6(BUS15471_i_m_6),
        .BUS15471_i_m_7(BUS15471_i_m_7),
        .BUS15471_i_m_8(BUS15471_i_m_8),
        .BUS15471_i_m_9(BUS15471_i_m_9),
        .BUS15471_i_m_10(BUS15471_i_m_10),
        .BUS15471_i_m_12(BUS15471_i_m_12),
        .BUS15471_i_m_13(BUS15471_i_m_13),
        .BUS15471_i_m_14(BUS15471_i_m_14),
        .BUS15471_i_m_16(BUS15471_i_m_16),
        .BUS15471_i_m_17(BUS15471_i_m_17),
        .BUS15471_i_m_18(BUS15471_i_m_18),
        .BUS15471_i_m_19(BUS15471_i_m_19),
        .BUS15471_i_m_20(BUS15471_i_m[21]),
        .BUS15471_i_m_21(BUS15471_i_m_21),
        .BUS15471_i_m_22(BUS15471_i_m[23]),
        .BUS15471_i_m_23(BUS15471_i_m[24]),
        .BUS15471_i_m_24(BUS15471_i_m[25]),
        .BUS15471_i_m_25(BUS15471_i_m[26]),
        .BUS15471_i_m_28(BUS15471_i_m[29]),
        .BUS15471_i_m_29(BUS15471_i_m[30]),
        .BUS15471_i_m_30(BUS15471_i_m_30),
        .r32_o_0_0(r32_o[0]),
        .r32_o_0_1(r32_o_7_1),
        .r32_o_0_4(r32_o[4]),
        .r32_o_0_5(r32_o_7_5),
        .r32_o_0_6(r32_o_7_6),
        .r32_o_0_7(r32_o_7_7),
        .r32_o_0_8(r32_o_7_8),
        .r32_o_0_9(r32_o_7_9),
        .r32_o_0_10(r32_o_7_10),
        .r32_o_0_11(r32_o_7_11),
        .r32_o_0_12(r32_o_7_12),
        .r32_o_0_13(r32_o_7_13),
        .r32_o_0_14(r32_o_7_14),
        .r32_o_0_15(r32_o_7_15),
        .r32_o_0_16(r32_o[16]),
        .r32_o_0_17(r32_o_7_17),
        .r32_o_0_18(r32_o_7_18),
        .r32_o_0_19(r32_o_7_19),
        .r32_o_0_20(r32_o_7_20),
        .r32_o_0_21(r32_o_7_21),
        .r32_o_0_22(r32_o_7_22),
        .r32_o_0_23(r32_o_7_23),
        .r32_o_0_24(r32_o_7_24),
        .r32_o_0_25(r32_o_7_25),
        .r32_o_0_26(r32_o_6_26),
        .r32_o_0_27(r32_o_6_27),
        .r32_o_0_28(r32_o_6[28]),
        .r32_o_0_29(r32_o_6_29),
        .r32_o_0_30(r32_o_6_30),
        .r32_o_0_31(r32_o_6_31),
        .r32_o_0_2(r32_o_2),
        .r32_o_0_3(r32_o_3),
        .count_0(count_0),
        .shift_out_89_2_0_3(shift_out_89_2_0[23]),
        .shift_out_89_2_0_2(shift_out_89_2_0[22]),
        .shift_out_89_2_0_1(shift_out_89_2_0[21]),
        .shift_out_89_2_0_0(shift_out_89_2_0[20]),
        .shift_out_89_4_0_2(shift_out_89_4_0[8]),
        .shift_out_89_4_0_9(shift_out_89_4_0[15]),
        .shift_out_89_4_0_8(shift_out_89_4_0[14]),
        .shift_out_89_4_0_7(shift_out_89_4_0[13]),
        .shift_out_89_4_0_5(shift_out_89_4_0[11]),
        .shift_out_89_4_0_4(shift_out_89_4_0[10]),
        .shift_out_89_4_0_3(shift_out_89_4_0[9]),
        .shift_out_89_4_0_1(shift_out_89_4_0[7]),
        .shift_out_89_4_0_0(shift_out_89_4_0[6]),
        .shift_out_89_4_0_13(shift_out_89_4_0[19]),
        .shift_out_89_4_0_12(shift_out_89_4_0[18]),
        .shift_out_89_4_0_11(shift_out_89_4_0[17]),
        .shift_out_89_4_0_10(shift_out_89_4_0[16]),
        .shift_out_89_4_0_6(shift_out_89_4_0[12]),
        .shift_out_89_28(shift_out_89[30]),
        .shift_out_89_27(shift_out_89[29]),
        .shift_out_89_1(shift_out_89[3]),
        .shift_out_89_0(shift_out_89[2]),
        .shift_out_89_26(shift_out_89[28]),
        .shift_out_89_25(shift_out_89[27]),
        .shift_out_89_23(shift_out_89[25]),
        .shift_out_89_22(shift_out_89[24]),
        .shift_out_89_3(shift_out_89[5]),
        .shift_out_89_2(shift_out_89[4]),
        .shift_out_92_2(shift_out_92[3]),
        .shift_out_92_0(shift_out_92[1]),
        .shift_out_92_25(shift_out_92[26]),
        .shift_out_92_21(shift_out_92[22]),
        .shift_out_92_20(shift_out_92[21]),
        .shift_out_92_18(shift_out_92[19]),
        .shift_out_92_14(shift_out_92[15]),
        .shift_out_92_9(shift_out_92[10]),
        .shift_out_92_12(shift_out_92[13]),
        .shift_out_92_17(shift_out_92[18]),
        .shift_out_92_26(shift_out_92[27]),
        .shift_out_92_24(shift_out_92[25]),
        .shift_out_92_23(shift_out_92[24]),
        .shift_out_92_15(shift_out_92[16]),
        .r32_o_0(r32_o_0_0),
        .r32_o_4(r32_o_0_4),
        .r32_o_16(r32_o_0_16),
        .r32_o_28(r32_o_28),
        .r32_o_1(r32_o_1),
        .r32_o_5(r32_o_5_d0),
        .r32_o_6(r32_o_6_d0),
        .r32_o_7(r32_o_7_d0),
        .r32_o_8(r32_o_8_d0),
        .r32_o_9(r32_o_9),
        .r32_o_10(r32_o_10),
        .r32_o_11(r32_o_11),
        .r32_o_12(r32_o_12),
        .r32_o_13(r32_o_13),
        .r32_o_14(r32_o_14),
        .r32_o_15(r32_o_15),
        .r32_o_17(r32_o_17),
        .r32_o_18(r32_o_18),
        .r32_o_19(r32_o_19),
        .r32_o_20(r32_o_20),
        .r32_o_21(r32_o_21),
        .r32_o_22(r32_o_22),
        .r32_o_23(r32_o_23),
        .r32_o_24(r32_o_24),
        .r32_o_25(r32_o_25),
        .r32_o_26(r32_o_26),
        .r32_o_27(r32_o_27),
        .r32_o_29(r32_o_29),
        .r32_o_30(r32_o_30),
        .r32_o_31(r32_o_31),
        .r32_o_3(r32_o_4[3]),
        .r32_o_2(r32_o_4[2]),
        .NET21531_i(NET21531_i),
        .un1_b_o18_2(un1_b_o18_2),
        .b_o18(b_o18),
        .un1_mux_fw_NE(un1_mux_fw_NE),
        .un14_mux_fw(un14_mux_fw),
        .un17_mux_fw_NE(un17_mux_fw_NE),
        .dout7(dout7),
        .un30_mux_fw(un30_mux_fw),
        .mux_fw_1(mux_fw_1_1),
        .un17_mux_fw_NE_1(un17_mux_fw_NE_1),
        .un17_mux_fw_NE_2(un17_mux_fw_NE_2),
        .shift_out_sn_m31_i(shift_out_sn_m31_i),
        .overflow_2_sqmuxa(overflow_2_sqmuxa),
        .G_230(G_230),
        .I_159_a(I_159_a),
        .finish(finish),
        .op2_sign_reged(op2_sign_reged),
        .start(start),
        .mul(mul),
        .hilo25(hilo25),
        .rst_c(rst_c),
        .rdy(rdy),
        .b_o_1_sqmuxa(b_o_1_sqmuxa),
        .b_o_0_sqmuxa(b_o_0_sqmuxa),
        .clk_c(clk_c),
        .m50(m50),
        .m51(m51)
);
// @14:174
  r32_reg_1 alu_pass0 (
        .alu_func_o_0(alu_func_o_3),
        .c_0_0(c_0_Z[7]),
        .shift_out_89_4_0_0(shift_out_89_4_0[6]),
        .shift_out_89_4_0_1(shift_out_89_4_0[7]),
        .shift_out_89_4_0_2(shift_out_89_4_0[8]),
        .shift_out_89_4_0_3(shift_out_89_4_0[9]),
        .shift_out_89_4_0_4(shift_out_89_4_0[10]),
        .shift_out_89_4_0_5(shift_out_89_4_0[11]),
        .shift_out_89_4_0_6(shift_out_89_4_0[12]),
        .shift_out_89_4_0_7(shift_out_89_4_0[13]),
        .shift_out_89_4_0_8(shift_out_89_4_0[14]),
        .shift_out_89_4_0_9(shift_out_89_4_0[15]),
        .shift_out_89_4_0_10(shift_out_89_4_0[16]),
        .shift_out_89_4_0_11(shift_out_89_4_0[17]),
        .shift_out_89_4_0_12(shift_out_89_4_0[18]),
        .shift_out_89_4_0_13(shift_out_89_4_0[19]),
        .c_4_0(c_4_Z[22]),
        .shift_out_89_2_0_0(shift_out_89_2_0[20]),
        .shift_out_89_2_0_1(shift_out_89_2_0[21]),
        .shift_out_89_2_0_2(shift_out_89_2_0[22]),
        .shift_out_89_2_0_3(shift_out_89_2_0[23]),
        .c_3_0(c_3_Z[11]),
        .c_3_12(c_3_Z[23]),
        .c_3_13(c_3_Z[24]),
        .shift_out_92_0(shift_out_92[1]),
        .shift_out_92_2(shift_out_92[3]),
        .shift_out_92_9(shift_out_92[10]),
        .shift_out_92_12(shift_out_92[13]),
        .shift_out_92_14(shift_out_92[15]),
        .shift_out_92_15(shift_out_92[16]),
        .shift_out_92_17(shift_out_92[18]),
        .shift_out_92_18(shift_out_92[19]),
        .shift_out_92_20(shift_out_92[21]),
        .shift_out_92_21(shift_out_92[22]),
        .shift_out_92_23(shift_out_92[24]),
        .shift_out_92_24(shift_out_92[25]),
        .shift_out_92_25(shift_out_92[26]),
        .shift_out_92_26(shift_out_92[27]),
        .shift_out_89_0(shift_out_89[2]),
        .shift_out_89_1(shift_out_89[3]),
        .shift_out_89_2(shift_out_89[4]),
        .shift_out_89_3(shift_out_89[5]),
        .shift_out_89_22(shift_out_89[24]),
        .shift_out_89_23(shift_out_89[25]),
        .shift_out_89_25(shift_out_89[27]),
        .shift_out_89_26(shift_out_89[28]),
        .shift_out_89_27(shift_out_89[29]),
        .shift_out_89_28(shift_out_89[30]),
        .c_0(c_1),
        .c_1(c_2),
        .c_2(c_3),
        .c_3(c_4),
        .c_4(c_5),
        .c_5(c_6),
        .c_6(c_7),
        .c_7(c_8),
        .c_8(c_9),
        .c_9(c_10),
        .c_10(c_11),
        .c_11(c_12),
        .c_12(c_13),
        .c_13(c_14),
        .c_14(c_15),
        .c_15(c_16),
        .c_16(c_17),
        .c_17(c_18),
        .c_18(c_19),
        .c_19(c_20),
        .c_20(c_21),
        .c_21(c_22),
        .c_22(c_23),
        .c_23(c_24),
        .c_24(c_25),
        .c_25(c_26),
        .c_26(c_27),
        .c_27(c_28),
        .c_28(c_29),
        .c_29(c_30),
        .c_1_0(c_1_0),
        .c_1_1(c_1_Z[1]),
        .c_1_2(c_1_Z[2]),
        .c_1_3(c_1_Z[3]),
        .c_1_4(c_1_Z[4]),
        .c_1_5(c_1_Z[5]),
        .c_1_6(c_1_Z[6]),
        .c_1_8(c_1_Z[8]),
        .c_1_9(c_1_Z[9]),
        .c_1_10(c_1_Z[10]),
        .c_1_12(c_1_Z[12]),
        .c_1_13(c_1_Z[13]),
        .c_1_14(c_1_Z[14]),
        .c_1_15(c_1_Z[15]),
        .c_1_16(c_1_Z[16]),
        .c_1_17(c_1_Z[17]),
        .c_1_18(c_1_Z[18]),
        .c_1_19(c_1_Z[19]),
        .c_1_20(c_1_Z[20]),
        .c_1_21(c_1_Z[21]),
        .c_1_25(c_1_Z[25]),
        .c_1_26(c_1_Z[26]),
        .c_1_27(c_1_Z[27]),
        .c_1_28(c_1_Z[28]),
        .c_1_29(c_1_Z[29]),
        .c_1_30(c_1_Z[30]),
        .c_1_31(c_1_Z[31]),
        .shift_out_92_2_0_0(shift_out_92_2_0[28]),
        .shift_out_92_2_0_1(shift_out_92_2_0[29]),
        .shift_out_92_2_0_2(shift_out_92_2_0[30]),
        .shift_out_92_2_0_3(shift_out_92_2_0[31]),
        .c_a_0(c_a[1]),
        .c_a_1(c_a[2]),
        .c_a_3(c_a[4]),
        .c_a_4(c_a[5]),
        .c_a_5(c_a[6]),
        .c_a_6(c_a[7]),
        .c_a_7(c_a[8]),
        .c_a_8(c_a[9]),
        .c_a_10(c_a[11]),
        .c_a_11(c_a[12]),
        .c_a_13(c_a[14]),
        .c_a_16(c_a[17]),
        .c_a_19(c_a[20]),
        .c_a_22(c_a[23]),
        .c_a_25(c_a[26]),
        .c_a_30(c_a[31]),
        .r32_o_0(r32_o_0_0),
        .r32_o_1(r32_o_1),
        .r32_o_2(r32_o_2),
        .r32_o_3(r32_o_3),
        .r32_o_4(r32_o_0_4),
        .r32_o_5(r32_o_5_d0),
        .r32_o_6(r32_o_6_d0),
        .r32_o_7(r32_o_7_d0),
        .r32_o_8(r32_o_8_d0),
        .r32_o_9(r32_o_9),
        .r32_o_10(r32_o_10),
        .r32_o_11(r32_o_11),
        .r32_o_12(r32_o_12),
        .r32_o_13(r32_o_13),
        .r32_o_14(r32_o_14),
        .r32_o_15(r32_o_15),
        .r32_o_16(r32_o_0_16),
        .r32_o_17(r32_o_17),
        .r32_o_18(r32_o_18),
        .r32_o_19(r32_o_19),
        .r32_o_20(r32_o_20),
        .r32_o_21(r32_o_21),
        .r32_o_22(r32_o_22),
        .r32_o_23(r32_o_23),
        .r32_o_24(r32_o_24),
        .r32_o_25(r32_o_25),
        .r32_o_26(r32_o_26),
        .r32_o_27(r32_o_27),
        .r32_o_28(r32_o_28),
        .r32_o_29(r32_o_29),
        .r32_o_30(r32_o_30),
        .r32_o_31(r32_o_31),
        .m51(m51),
        .m50(m50),
        .shift_out_sn_m31_i(shift_out_sn_m31_i),
        .clk_c(clk_c)
);
// @14:183
  r32_reg_2 alu_pass1 (
        .r32_o_0_0(r32_o_0_0),
        .r32_o_0_1(r32_o_1),
        .r32_o_0_2(r32_o_2),
        .r32_o_0_3(r32_o_3),
        .r32_o_0_4(r32_o_0_4),
        .r32_o_0_5(r32_o_5_d0),
        .r32_o_0_6(r32_o_6_d0),
        .r32_o_0_7(r32_o_7_d0),
        .r32_o_0_8(r32_o_8_d0),
        .r32_o_0_9(r32_o_9),
        .r32_o_0_10(r32_o_10),
        .r32_o_0_11(r32_o_11),
        .r32_o_0_12(r32_o_12),
        .r32_o_0_13(r32_o_13),
        .r32_o_0_14(r32_o_14),
        .r32_o_0_15(r32_o_15),
        .r32_o_0_16(r32_o_0_16),
        .r32_o_0_17(r32_o_17),
        .r32_o_0_18(r32_o_18),
        .r32_o_0_19(r32_o_19),
        .r32_o_0_20(r32_o_20),
        .r32_o_0_21(r32_o_21),
        .r32_o_0_22(r32_o_22),
        .r32_o_0_23(r32_o_23),
        .r32_o_0_24(r32_o_24),
        .r32_o_0_25(r32_o_25),
        .r32_o_0_26(r32_o_26),
        .r32_o_0_27(r32_o_27),
        .r32_o_0_28(r32_o_28),
        .r32_o_0_29(r32_o_29),
        .r32_o_0_30(r32_o_30),
        .r32_o_0_31(r32_o_31),
        .r32_o_0(r32_o_2_Z[0]),
        .r32_o_1(r32_o_1_Z[1]),
        .r32_o_2(r32_o_1_Z[2]),
        .r32_o_3(r32_o_1_Z[3]),
        .r32_o_4(r32_o_2_Z[4]),
        .r32_o_5(r32_o_1_Z[5]),
        .r32_o_6(r32_o_1_Z[6]),
        .r32_o_7(r32_o_1_Z[7]),
        .r32_o_8(r32_o_1_Z[8]),
        .r32_o_9(r32_o_1_Z[9]),
        .r32_o_10(r32_o_1_Z[10]),
        .r32_o_11(r32_o_1_Z[11]),
        .r32_o_12(r32_o_1_Z[12]),
        .r32_o_13(r32_o_1_Z[13]),
        .r32_o_14(r32_o_1_Z[14]),
        .r32_o_15(r32_o_1_Z[15]),
        .r32_o_16(r32_o_2_Z[16]),
        .r32_o_17(r32_o_1_Z[17]),
        .r32_o_18(r32_o_1_Z[18]),
        .r32_o_19(r32_o_1_Z[19]),
        .r32_o_20(r32_o_1_Z[20]),
        .r32_o_21(r32_o_1_Z[21]),
        .r32_o_22(r32_o_1_Z[22]),
        .r32_o_23(r32_o_1_Z[23]),
        .r32_o_24(r32_o_1_Z[24]),
        .r32_o_25(r32_o_1_Z[25]),
        .r32_o_26(r32_o_1_Z[26]),
        .r32_o_27(r32_o_1_Z[27]),
        .r32_o_28(r32_o_1_Z[28]),
        .r32_o_29(r32_o_1_Z[29]),
        .r32_o_30(r32_o_1_Z[30]),
        .r32_o_31(r32_o_1_Z[31]),
        .clk_c(clk_c)
);
// @14:201
  r32_reg_3 cop_data_reg (
        .dout_2_a_0(dout_2_a[0]),
        .dout_2_a_1(dout_2_a[1]),
        .dout_2_a_2(dout_2_a[2]),
        .dout_2_a_3(dout_2_a[3]),
        .dout_2_a_4(dout_2_a[4]),
        .dout_2_a_5(dout_2_a[5]),
        .dout_2_a_6(dout_2_a[6]),
        .dout_2_a_7(dout_2_a[7]),
        .dout_2_a_8(dout_2_a[8]),
        .dout_2_a_9(dout_2_a[9]),
        .dout_2_a_10(dout_2_a[10]),
        .dout_2_a_11(dout_2_a[11]),
        .dout_2_a_12(dout_2_a[12]),
        .dout_2_a_13(dout_2_a[13]),
        .dout_2_a_14(dout_2_a[14]),
        .dout_2_a_15(dout_2_a[15]),
        .dout_2_a_16(dout_2_a[16]),
        .dout_2_a_17(dout_2_a[17]),
        .dout_2_a_18(dout_2_a[18]),
        .dout_2_a_19(dout_2_a[19]),
        .dout_2_a_20(dout_2_a[20]),
        .dout_2_a_21(dout_2_a[21]),
        .dout_2_a_22(dout_2_a[22]),
        .dout_2_a_23(dout_2_a[23]),
        .dout_2_a_24(dout_2_a[24]),
        .dout_2_a_25(dout_2_a[25]),
        .dout_2_a_26(dout_2_a[26]),
        .dout_2_a_27(dout_2_a[27]),
        .dout_2_a_28(dout_2_a[28]),
        .dout_2_a_29(dout_2_a[29]),
        .dout_2_a_30(dout_2_a[30]),
        .dout_2_a_31(dout_2_a[31]),
        .wb_o_0(wb_o_0),
        .wb_o_1(wb_o[1]),
        .wb_o_2(wb_o_2),
        .wb_o_3(wb_o[3]),
        .wb_o_4(wb_o_4),
        .wb_o_5(wb_o[5]),
        .wb_o_6(wb_o[6]),
        .wb_o_7(wb_o[7]),
        .wb_o_8(wb_o_8),
        .wb_o_9(wb_o[9]),
        .wb_o_10(wb_o_10),
        .wb_o_11(wb_o_11),
        .wb_o_12(wb_o[12]),
        .wb_o_13(wb_o_13),
        .wb_o_14(wb_o_14),
        .wb_o_15(wb_o_15),
        .wb_o_16(wb_o_16),
        .wb_o_17(wb_o[17]),
        .wb_o_18(wb_o_18),
        .wb_o_19(wb_o_19),
        .wb_o_20(wb_o[20]),
        .wb_o_21(wb_o[21]),
        .wb_o_22(wb_o_22),
        .wb_o_23(wb_o[23]),
        .wb_o_24(wb_o_24),
        .wb_o_25(wb_o_25),
        .wb_o_26(wb_o_26),
        .wb_o_27(wb_o_27),
        .wb_o_28(wb_o_28),
        .wb_o_29(wb_o[29]),
        .wb_o_30(wb_o_30),
        .wb_o_31(wb_o_31),
        .r32_o_0(r32_o_8_0),
        .r32_o_1(r32_o_8_1),
        .r32_o_2(r32_o_8_2),
        .r32_o_3(r32_o_8_3),
        .r32_o_4(r32_o_8_4),
        .r32_o_5(r32_o_8_5),
        .r32_o_6(r32_o_8_6),
        .r32_o_7(r32_o_8_7),
        .r32_o_8(r32_o_8_8),
        .r32_o_9(r32_o_8_9),
        .r32_o_10(r32_o_8_10),
        .r32_o_11(r32_o_8_11),
        .r32_o_12(r32_o_8_12),
        .r32_o_13(r32_o_8_13),
        .r32_o_14(r32_o_8_14),
        .r32_o_15(r32_o_8_15),
        .r32_o_16(r32_o_8_16),
        .r32_o_17(r32_o_8_17),
        .r32_o_18(r32_o_8_18),
        .r32_o_19(r32_o_8_19),
        .r32_o_20(r32_o_8_20),
        .r32_o_21(r32_o_8_21),
        .r32_o_22(r32_o_8_22),
        .r32_o_23(r32_o_8_23),
        .r32_o_24(r32_o_8_24),
        .r32_o_25(r32_o_8_25),
        .r32_o_26(r32_o_7_26),
        .r32_o_27(r32_o_7_27),
        .r32_o_28(r32_o_7_28),
        .r32_o_29(r32_o_7_29),
        .r32_o_30(r32_o_7_30),
        .r32_o_31(r32_o_7_31),
        .dout_2_0(dout_2_0),
        .dout_2_1(dout_2_1),
        .dout_2_2(dout_2_2),
        .dout_2_3(dout_2_3),
        .dout_2_4(dout_2_4),
        .dout_2_5(dout_2_5),
        .dout_2_6(dout_2_6),
        .dout_2_7(dout_2_7),
        .dout_2_8(dout_2_Z[8]),
        .dout_2_9(dout_2_Z[9]),
        .dout_2_10(dout_2_Z[10]),
        .dout_2_11(dout_2_Z[11]),
        .dout_2_12(dout_2_Z[12]),
        .dout_2_13(dout_2_Z[13]),
        .dout_2_14(dout_2_Z[14]),
        .dout_2_15(dout_2_Z[15]),
        .dout_2_16(dout_2_Z[16]),
        .dout_2_17(dout_2_Z[17]),
        .dout_2_18(dout_2_Z[18]),
        .dout_2_19(dout_2_Z[19]),
        .dout_2_20(dout_2_Z[20]),
        .dout_2_21(dout_2_Z[21]),
        .dout_2_22(dout_2_Z[22]),
        .dout_2_23(dout_2_Z[23]),
        .dout_2_24(dout_2_Z[24]),
        .dout_2_25(dout_2_Z[25]),
        .dout_2_26(dout_2_Z[26]),
        .dout_2_27(dout_2_Z[27]),
        .dout_2_28(dout_2_Z[28]),
        .dout_2_29(dout_2_Z[29]),
        .dout_2_30(dout_2_Z[30]),
        .dout_2_31(dout_2_Z[31]),
        .dout7(dout7),
        .clk_c(clk_c)
);
// @14:210
  r32_reg_4 cop_dout_reg (
        .dout_0_a6_x_0(dout_0_a6_x[1]),
        .dout_0_a6_x_3(dout_0_a6_x[4]),
        .dout_0_a_0(dout_0_a[1]),
        .dout_0_a_3(dout_0_a[4]),
        .dout_0_0_a6_x_0(dout_0_0_a6_x[0]),
        .dout_0_0_a6_x_2(dout_0_0_a6_x[2]),
        .dout_0_0_a6_x_3(dout_0_0_a6_x[3]),
        .dout_0_0_a6_x_5(dout_0_0_a6_x[5]),
        .dout_0_0_a6_x_6(dout_0_0_a6_x[6]),
        .dout_0_0_a_0(dout_0_0_a[0]),
        .dout_0_0_a_2(dout_0_0_a[2]),
        .dout_0_0_a_3(dout_0_0_a[3]),
        .dout_0_0_a_5(dout_0_0_a[5]),
        .dout_0_0_a_6(dout_0_0_a[6]),
        .dout_0_0_a2_2_0(dout_0_0_a2_2[0]),
        .dout_0_2_0(dout_0_2[7]),
        .dout_0_a_x_0(dout_0_a_x[8]),
        .dout_0_a_x_1(dout_0_a_x[9]),
        .dout_0_a_x_4(dout_0_a_x[12]),
        .dout_i_i_a_x_0(dout_i_i_a_x[10]),
        .dout_i_i_a_x_1(dout_i_i_a_x[11]),
        .dout_i_i_a_x_3(dout_i_i_a_x[13]),
        .dout_0_0_a_x_0(dout_0_0_a_x[14]),
        .dout_0_a2_0_0(dout_0_a2_0[8]),
        .dout_i_i_1_0(dout_i_i_1[15]),
        .dout_i_i_1_8(dout_i_i_1[23]),
        .dout_i_i_a6_0(dout_i_i_a6[16]),
        .dout_i_i_0_0(dout_i_i_0[31]),
        .dout_i_i_a_0(dout_i_i_a[15]),
        .dout_i_i_a_8(dout_i_i_a[23]),
        .dout_0_a2_2_0(dout_0_a2_2[7]),
        .zz_din_c_0(zz_din_c_7),
        .zz_din_c_8(zz_din_c_15),
        .zz_din_c_9(zz_din_c_16),
        .zz_din_c_10(zz_din_c_17),
        .zz_din_c_11(zz_din_c_18),
        .zz_din_c_12(zz_din_c_19),
        .zz_din_c_13(zz_din_c_20),
        .zz_din_c_14(zz_din_c_21),
        .zz_din_c_15(zz_din_c_22),
        .zz_din_c_16(zz_din_c_23),
        .zz_din_c_17(zz_din_c_24),
        .zz_din_c_18(zz_din_c_25),
        .zz_din_c_19(zz_din_c_26),
        .zz_din_c_20(zz_din_c_27),
        .zz_din_c_21(zz_din_c_28),
        .zz_din_c_22(zz_din_c_29),
        .zz_din_c_23(zz_din_c_30),
        .zz_din_c_24(zz_din_c_31),
        .r32_o_0(r32_o_1_Z[0]),
        .r32_o_1(r32_o_0[1]),
        .r32_o_2(r32_o_0[2]),
        .r32_o_3(r32_o_0[3]),
        .r32_o_4(r32_o_1_Z[4]),
        .r32_o_5(r32_o_0[5]),
        .r32_o_6(r32_o_0[6]),
        .r32_o_7(r32_o_0[7]),
        .r32_o_8(r32_o_0[8]),
        .r32_o_9(r32_o_0[9]),
        .r32_o_10(r32_o_0[10]),
        .r32_o_11(r32_o_0[11]),
        .r32_o_12(r32_o_0[12]),
        .r32_o_13(r32_o_0[13]),
        .r32_o_14(r32_o_0[14]),
        .r32_o_15(r32_o_0[15]),
        .r32_o_16(r32_o_1_Z[16]),
        .r32_o_17(r32_o_0[17]),
        .r32_o_18(r32_o_0[18]),
        .r32_o_19(r32_o_0[19]),
        .r32_o_20(r32_o_0[20]),
        .r32_o_21(r32_o_0[21]),
        .r32_o_22(r32_o_0[22]),
        .r32_o_23(r32_o_0[23]),
        .r32_o_24(r32_o_0[24]),
        .r32_o_25(r32_o_0[25]),
        .r32_o_26(r32_o_0[26]),
        .r32_o_27(r32_o_0[27]),
        .r32_o_28(r32_o_0[28]),
        .r32_o_29(r32_o_0[29]),
        .r32_o_30(r32_o_0[30]),
        .r32_o_31(r32_o_0[31]),
        .clk_c(clk_c)
);
// @14:219
  decode_pipe decoder_pipe (
        .wb_we_o_0_0(wb_we_o_0[0]),
        .wb_we_o_0(wb_we_o[0]),
        .muxb_ctl_o_0(muxb_ctl_o[0]),
        .muxb_ctl_o_1(muxb_ctl_o[1]),
        .dmem_ctl_o_0_0(dmem_ctl_o[0]),
        .dmem_ctl_o_0_1(dmem_ctl_o[1]),
        .dmem_ctl_o_0_2(dmem_ctl_o[2]),
        .alu_func_o_0(alu_func_o[0]),
        .alu_func_o_1(alu_func_o[1]),
        .alu_func_o_2(alu_func_o[2]),
        .alu_func_o_3(alu_func_o_3),
        .alu_func_o_4(alu_func_o[4]),
        .muxa_ctl_o_0(muxa_ctl_o[0]),
        .muxa_ctl_o_1(muxa_ctl_o[1]),
        .wb_mux_ctl_o_0(wb_mux_ctl_o[0]),
        .cmp_ctl_o_0(cmp_ctl_o[0]),
        .cmp_ctl_o_1(cmp_ctl_o[1]),
        .cmp_ctl_o_2(cmp_ctl_o[2]),
        .ext_ctl_o_0(ext_ctl_o[0]),
        .ext_ctl_o_1(ext_ctl_o[1]),
        .ext_ctl_o_2(ext_ctl_o[2]),
        .rd_sel_o_0(rd_sel_o[0]),
        .rd_sel_o_1(rd_sel_o[1]),
        .pc_gen_ctl_o_0(pc_gen_ctl_o[0]),
        .pc_gen_ctl_o_1(pc_gen_ctl_o[1]),
        .pc_gen_ctl_o_2(pc_gen_ctl_o[2]),
        .dmem_ctl_o_3(dmem_ctl_o[3]),
        .dmem_ctl_o_0(dmem_ctl_o_0_0),
        .dmem_ctl_o_1(dmem_ctl_o_0_1),
        .dmem_ctl_o_2(dmem_ctl_o_0_2),
        .r32_o_0(r32_o_14),
        .zz_ins_i_c_16(zz_ins_i_c_16),
        .zz_ins_i_c_28(zz_ins_i_c_28),
        .zz_ins_i_c_26(zz_ins_i_c_26),
        .zz_ins_i_c_27(zz_ins_i_c_27),
        .zz_ins_i_c_30(zz_ins_i_c_30),
        .zz_ins_i_c_31(zz_ins_i_c_31),
        .zz_ins_i_c_17(zz_ins_i_c_17),
        .zz_ins_i_c_20(zz_ins_i_c_20),
        .zz_ins_i_c_19(zz_ins_i_c_19),
        .zz_ins_i_c_2(zz_ins_i_c_2),
        .zz_ins_i_c_5(zz_ins_i_c_5),
        .zz_ins_i_c_3(zz_ins_i_c_3),
        .zz_ins_i_c_1(zz_ins_i_c_1),
        .zz_ins_i_c_0(zz_ins_i_c_0),
        .zz_ins_i_c_24(zz_ins_i_c_24),
        .zz_ins_i_c_25(zz_ins_i_c_25),
        .zz_ins_i_c_29(zz_ins_i_c_29),
        .zz_ins_i_c_23(zz_ins_i_c_23),
        .zz_ins_i_c_4(zz_ins_i_c_4),
        .zz_ins_i_c_18(zz_ins_i_c_18),
        .zz_ins_i_c_21(zz_ins_i_c_21),
        .zz_ins_i_c_22(zz_ins_i_c_22),
        .pc_gen_ctl_0_0_a2_2_0(pc_gen_ctl_0_0_a2_2[2]),
        .NET1572_i_i(NET1572_i_i),
        .NET1606_i(NET1606_i),
        .clk_c(clk_c),
        .ra2exec_ctl_clr_i_a2_0_a2(ra2exec_ctl_clr_i_a2_0_a2),
        .wr_cmd_0_a2_1_21_a(wr_cmd_0_a2_1_21_a),
        .fsm_dly_2_1_0_0(fsm_dly_2_1_0_0),
        .fsm_dly_0_1_0_0(fsm_dly_0_1_0_0),
        .fsm_dly_1_1_0_0(fsm_dly_1_1_0_0),
        .fsm_dly_1_1_0_0_a2_0(fsm_dly_1_1_0_0_a2_0)
);
// @14:243
  r32_reg_5 ext_reg (
        .r32_o_0_0(r32_o_6_0),
        .r32_o_0_1(r32_o_5_1),
        .r32_o_0_2(r32_o_6_2),
        .r32_o_0_3(r32_o_6_3),
        .r32_o_0_4(r32_o_7_4),
        .r32_o_0_5(r32_o_5_5),
        .r32_o_0_6(r32_o_5_6),
        .r32_o_0_7(r32_o_5_7),
        .r32_o_0_8(r32_o_5_8),
        .r32_o_0_9(r32_o_5_9),
        .r32_o_0_10(r32_o_5_10),
        .r32_o_0_11(r32_o_5_11),
        .r32_o_0_12(r32_o_5_12),
        .r32_o_0_13(r32_o_5_13),
        .r32_o_0_14(r32_o_5_14),
        .r32_o_0_15(r32_o_5_15),
        .res_7_0_0_o3_0(res_7_0_0_o3[2]),
        .res_7_0_0_0_0(res_7_0_0_0[2]),
        .res_7_0_0_0_1(res_7_0_0_0[3]),
        .res_7_0_0_0_2(res_7_0_0_0[4]),
        .res_7_0_0_0_14(res_7_0_0_0[16]),
        .res_7_0_0_a_0(res_7_0_0_a[0]),
        .res_7_0_0_a_1(res_7_0_0_a[1]),
        .res_7_0_0_a_5(res_7_0_0_a[5]),
        .res_7_0_0_a_17(res_7_0_0_a[17]),
        .res_7_0_0_a_18(res_7_0_0_a[18]),
        .res_7_0_0_a_19(res_7_0_0_a[19]),
        .res_7_0_0_a_20(res_7_0_0_a[20]),
        .res_7_0_0_a_21(res_7_0_0_a[21]),
        .res_7_0_0_a_22(res_7_0_0_a[22]),
        .res_7_0_0_a_23(res_7_0_0_a[23]),
        .res_7_0_0_a_24(res_7_0_0_a[24]),
        .res_7_0_0_a_25(res_7_0_0_a[25]),
        .res_7_0_0_a_26(res_7_0_0_a[26]),
        .res_7_0_0_a_27(res_7_0_0_a[27]),
        .res_7_0_0_a3_0(res_7_0_0_a3[18]),
        .res_7_0_0_a2_0_0_0(res_7_0_0_a2_0_0[16]),
        .ext_ctl_o_1(ext_ctl_o[1]),
        .ext_ctl_o_0(ext_ctl_o[0]),
        .ext_ctl_o_2(ext_ctl_o[2]),
        .r32_o_0(r32_o_3_Z[0]),
        .r32_o_2(r32_o_3_Z[2]),
        .r32_o_3(r32_o_2_Z[3]),
        .r32_o_4(r32_o_3_Z[4]),
        .r32_o_5(r32_o_2_Z[5]),
        .r32_o_6(r32_o_2_Z[6]),
        .r32_o_7(r32_o_2_Z[7]),
        .r32_o_8(r32_o_2_Z[8]),
        .r32_o_9(r32_o_2_Z[9]),
        .r32_o_10(r32_o_2_Z[10]),
        .r32_o_11(r32_o_2_Z[11]),
        .r32_o_16(r32_o_7_16),
        .r32_o_1(r32_o_3_Z[1]),
        .r32_o_17(r32_o_5_17),
        .r32_o_18(r32_o_6_18),
        .r32_o_19(r32_o_6_19),
        .r32_o_20(r32_o_5_20),
        .r32_o_21(r32_o_5_21),
        .r32_o_22(r32_o_5_22),
        .r32_o_23(r32_o_6_23),
        .r32_o_24(r32_o_5_24),
        .r32_o_25(r32_o_5_25),
        .r32_o_26(r32_o_4_26),
        .r32_o_27(r32_o_4_27),
        .r32_o_12(r32_o_2_Z[12]),
        .r32_o_28(r32_o_4_28),
        .r32_o_13(r32_o_2_Z[13]),
        .r32_o_29(r32_o_4_29),
        .r32_o_14(r32_o_3_Z[14]),
        .r32_o_30(r32_o_4_30),
        .r32_o_15(r32_o_2_Z[15]),
        .r32_o_31(r32_o_4_31),
        .res_7_0_0_0(res_7_0_0[0]),
        .res_7_0_0_1(res_7_0_0[1]),
        .res_7_0_0_2(res_7_0_0[2]),
        .res_7_0_0_3(res_7_0_0[3]),
        .res_7_0_0_4(res_7_0_0[4]),
        .res_7_0_0_5(res_7_0_0[5]),
        .res_7_0_0_6(res_7_0_0[6]),
        .res_7_0_0_7(res_7_0_0[7]),
        .res_7_0_0_8(res_7_0_0[8]),
        .res_7_0_0_9(res_7_0_0[9]),
        .res_7_0_0_10(res_7_0_0[10]),
        .res_7_0_0_11(res_7_0_0[11]),
        .res_7_0_0_12(res_7_0_0[12]),
        .res_7_0_0_13(res_7_0_0[13]),
        .res_7_0_0_14(res_7_0_0[14]),
        .res_7_0_0_15(res_7_0_0[15]),
        .res_7_0_0_16(res_7_0_0[16]),
        .res_7_0_0_17(res_7_0_0[17]),
        .res_7_0_0_18(res_7_0_0[18]),
        .res_7_0_0_19(res_7_0_0[19]),
        .res_7_0_0_20(res_7_0_0[20]),
        .res_7_0_0_21(res_7_0_0[21]),
        .res_7_0_0_22(res_7_0_0[22]),
        .res_7_0_0_23(res_7_0_0[23]),
        .res_7_0_0_24(res_7_0_0[24]),
        .res_7_0_0_25(res_7_0_0[25]),
        .res_7_0_0_26(res_7_0_0[26]),
        .res_7_0_0_27(res_7_0_0[27]),
        .res_7_0_0_28(res_7_0_0[28]),
        .res_7_0_0_29(res_7_0_0[29]),
        .res_7_0_0_30(res_7_0_0[30]),
        .res_7_0_0_31(res_7_0_0[31]),
        .clk_c(clk_c)
);
// @14:252
  forward iforward (
        .r32_o_4(r32_o_2_Z[20]),
        .r32_o_3(r32_o_2_Z[19]),
        .r32_o_2(r32_o_2_Z[18]),
        .r32_o_1(r32_o_2_Z[17]),
        .r32_o_0(r32_o_3_Z[16]),
        .r32_o_6(r32_o_2_Z[22]),
        .r32_o_7(r32_o_2_Z[23]),
        .r32_o_8(r32_o_2_Z[24]),
        .r32_o_9(r32_o_2_Z[25]),
        .r32_o_5(r32_o_2_Z[21]),
        .wb_we_o_0_0(wb_we_o[0]),
        .wb_we_o_0(wb_we_o_0[0]),
        .q_0_4(q[4]),
        .r5_o_0_4(r5_o_0[4]),
        .r5_o_0_2(r5_o[2]),
        .r5_o_0_1(r5_o[1]),
        .r5_o_0_0(r5_o_0[0]),
        .r5_o_0_3(r5_o_0[3]),
        .r5_o_4(r5_o[4]),
        .r5_o_2(r5_o_0[2]),
        .r5_o_1(r5_o_0[1]),
        .r5_o_3(r5_o[3]),
        .r5_o_0(r5_o[0]),
        .clk_c(clk_c),
        .un32_mux_fw_0(un32_mux_fw),
        .un32_mux_fw_a(un32_mux_fw_a),
        .mux_fw_1_1(mux_fw_1_0),
        .un32_mux_fw(un32_mux_fw_0),
        .un16_mux_fw_0(un16_mux_fw_0),
        .mux_fw_1_0(mux_fw_1),
        .mux_fw_1(mux_fw_1_1),
        .un17_mux_fw_NE_2(un17_mux_fw_NE_2),
        .un17_mux_fw_NE_1(un17_mux_fw_NE_1),
        .un1_mux_fw_NE(un1_mux_fw_NE),
        .un17_mux_fw_NE(un17_mux_fw_NE),
        .un30_mux_fw(un30_mux_fw),
        .un14_mux_fw(un14_mux_fw)
);
// @14:270
  r32_reg_6 pc (
        .pc_next_iv_0(pc_next_iv_0),
        .pc_next_iv_0_a_0(pc_next_iv_0_a_0),
        .pc_next_iv_0_0_0(pc_next_iv_0_0_0),
        .pc_next_iv_a_0(pc_next_iv_a[0]),
        .pc_next_iv_a_1(pc_next_iv_a[1]),
        .pc_next_iv_a_2(pc_next_iv_a[2]),
        .pc_next_iv_a_3(pc_next_iv_a[3]),
        .pc_next_iv_a_4(pc_next_iv_a[4]),
        .pc_next_iv_a_5(pc_next_iv_a[5]),
        .pc_next_iv_a_6(pc_next_iv_a[6]),
        .pc_next_iv_a_7(pc_next_iv_a[7]),
        .pc_next_iv_a_8(pc_next_iv_a[8]),
        .pc_next_iv_a_9(pc_next_iv_a[9]),
        .pc_next_iv_a_10(pc_next_iv_a[10]),
        .pc_next_iv_a_11(pc_next_iv_a[11]),
        .pc_next_iv_a_12(pc_next_iv_a[12]),
        .pc_next_iv_a_13(pc_next_iv_a[13]),
        .pc_next_iv_a_14(pc_next_iv_a[14]),
        .pc_next_iv_a_15(pc_next_iv_a[15]),
        .pc_next_iv_a_17(pc_next_iv_a_17),
        .pc_next_iv_a_18(pc_next_iv_a_18),
        .pc_next_iv_a_19(pc_next_iv_a_19),
        .pc_next_iv_a_20(pc_next_iv_a_20),
        .pc_next_iv_a_21(pc_next_iv_a_21),
        .pc_next_iv_a_22(pc_next_iv_a_22),
        .pc_next_iv_a_23(pc_next_iv_a_23),
        .pc_next_iv_a_24(pc_next_iv_a_24),
        .pc_next_iv_a_25(pc_next_iv_a_25),
        .pc_next_iv_a_26(pc_next_iv_a_26),
        .pc_next_iv_a_27(pc_next_iv_a_27),
        .pc_next_iv_a_28(pc_next_iv_a_28),
        .pc_next_iv_a_29(pc_next_iv_a_29),
        .pc_next_iv_a_30(pc_next_iv_a_30),
        .pc_next_iv_a_31(pc_next_iv_a_31),
        .pc_next_iv_0_0_d0(pc_next_iv_0_Z[0]),
        .pc_next_iv_0_1(pc_next_iv_0_Z[1]),
        .pc_next_iv_0_2(pc_next_iv_0_Z[2]),
        .pc_next_iv_0_3(pc_next_iv_0_Z[3]),
        .pc_next_iv_0_4(pc_next_iv_0_Z[4]),
        .pc_next_iv_0_5(pc_next_iv_0_Z[5]),
        .pc_next_iv_0_6(pc_next_iv_0_Z[6]),
        .pc_next_iv_0_7(pc_next_iv_0_Z[7]),
        .pc_next_iv_0_8(pc_next_iv_0_Z[8]),
        .pc_next_iv_0_9(pc_next_iv_0_Z[9]),
        .pc_next_iv_0_10(pc_next_iv_0_Z[10]),
        .pc_next_iv_0_11(pc_next_iv_0_Z[11]),
        .pc_next_iv_0_12(pc_next_iv_0_Z[12]),
        .pc_next_iv_0_13(pc_next_iv_0_Z[13]),
        .pc_next_iv_0_14(pc_next_iv_0_Z[14]),
        .pc_next_iv_0_15(pc_next_iv_0_Z[15]),
        .pc_next_iv_0_17(pc_next_iv_0_17),
        .pc_next_iv_0_18(pc_next_iv_0_18),
        .pc_next_iv_0_19(pc_next_iv_0_19),
        .pc_next_iv_0_20(pc_next_iv_0_20),
        .pc_next_iv_0_21(pc_next_iv_0_21),
        .pc_next_iv_0_22(pc_next_iv_0_22),
        .pc_next_iv_0_23(pc_next_iv_0_23),
        .pc_next_iv_0_24(pc_next_iv_0_24),
        .pc_next_iv_0_25(pc_next_iv_0_25),
        .pc_next_iv_0_26(pc_next_iv_0_26),
        .pc_next_iv_0_27(pc_next_iv_0_27),
        .pc_next_iv_0_28(pc_next_iv_0_28),
        .pc_next_iv_0_29(pc_next_iv_0_29),
        .pc_next_iv_0_30(pc_next_iv_0_30),
        .pc_next_iv_0_31(pc_next_iv_0_31),
        .r32_o_0(r32_o_5[0]),
        .r32_o_1(r32_o_4[1]),
        .r32_o_2(r32_o_4[2]),
        .r32_o_3(r32_o_4[3]),
        .r32_o_4(r32_o_5[4]),
        .r32_o_5(r32_o_4[5]),
        .r32_o_6(r32_o_4[6]),
        .r32_o_7(r32_o_4[7]),
        .r32_o_8(r32_o_4[8]),
        .r32_o_9(r32_o_4[9]),
        .r32_o_10(r32_o_4[10]),
        .r32_o_11(r32_o_4[11]),
        .r32_o_12(r32_o_4[12]),
        .r32_o_13(r32_o_4[13]),
        .r32_o_14(r32_o_4[14]),
        .r32_o_15(r32_o_4[15]),
        .r32_o_16(r32_o_5[16]),
        .r32_o_17(r32_o_4[17]),
        .r32_o_18(r32_o_4[18]),
        .r32_o_19(r32_o_4[19]),
        .r32_o_20(r32_o_4[20]),
        .r32_o_21(r32_o_4[21]),
        .r32_o_22(r32_o_4[22]),
        .r32_o_23(r32_o_4[23]),
        .r32_o_24(r32_o_4[24]),
        .r32_o_25(r32_o_4[25]),
        .r32_o_26(r32_o_3_Z[26]),
        .r32_o_27(r32_o_3_Z[27]),
        .r32_o_28(r32_o_3_Z[28]),
        .r32_o_29(r32_o_3_Z[29]),
        .r32_o_30(r32_o_3_Z[30]),
        .r32_o_31(r32_o_3_Z[31]),
        .un1_pc_add0(un1_pc_add0),
        .un1_pc_add1(un1_pc_add1),
        .un1_pc_add2(un1_pc_add2),
        .un1_pc_add3(un1_pc_add3),
        .un1_pc_add4(un1_pc_add4),
        .un1_pc_add5(un1_pc_add5),
        .un1_pc_add6(un1_pc_add6),
        .un1_pc_add7(un1_pc_add7),
        .un1_pc_add8(un1_pc_add8),
        .un1_pc_add9(un1_pc_add9),
        .un1_pc_add10(un1_pc_add10),
        .un1_pc_add11(un1_pc_add11),
        .un1_pc_add12(un1_pc_add12),
        .un1_pc_add13(un1_pc_add13),
        .un1_pc_add14(un1_pc_add14),
        .un1_pc_add15(un1_pc_add15),
        .un1_pc_add16(un1_pc_add16),
        .un1_pc_add17(un1_pc_add17),
        .un1_pc_add18(un1_pc_add18),
        .un1_pc_add19(un1_pc_add19),
        .un1_pc_add20(un1_pc_add20),
        .un1_pc_add21(un1_pc_add21),
        .un1_pc_add22(un1_pc_add22),
        .un1_pc_add23(un1_pc_add23),
        .un1_pc_add24(un1_pc_add24),
        .un1_pc_add25(un1_pc_add25),
        .un1_pc_add26(un1_pc_add26),
        .un1_pc_add27(un1_pc_add27),
        .un1_pc_add28(un1_pc_add28),
        .un1_pc_add29(un1_pc_add29),
        .un1_pc_add30(un1_pc_add30),
        .un1_pc_add31(un1_pc_add31),
        .un1_pc_next46_0(un1_pc_next46_0),
        .clk_c(clk_c)
);
// @14:279
  r5_reg rnd_pass0 (
        .rd_sel_o_1(rd_sel_o[1]),
        .rd_sel_o_0(rd_sel_o[0]),
        .r32_o_5(r32_o_3_Z[16]),
        .r32_o_0(r32_o_2_Z[11]),
        .r32_o_6(r32_o_2_Z[17]),
        .r32_o_1(r32_o_2_Z[12]),
        .r32_o_7(r32_o_2_Z[18]),
        .r32_o_2(r32_o_2_Z[13]),
        .r32_o_8(r32_o_2_Z[19]),
        .r32_o_3(r32_o_3_Z[14]),
        .r32_o_9(r32_o_2_Z[20]),
        .r32_o_4(r32_o_2_Z[15]),
        .r5_o_0(r5_o_1[0]),
        .r5_o_1(r5_o_1[1]),
        .r5_o_2(r5_o_1[2]),
        .r5_o_3(r5_o_1[3]),
        .r5_o_4(r5_o_1[4]),
        .clk_c(clk_c)
);
// @14:288
  r5_reg_1 rnd_pass1 (
        .r5_o_0_0(r5_o_1[0]),
        .r5_o_0_1(r5_o_1[1]),
        .r5_o_0_2(r5_o_1[2]),
        .r5_o_0_3(r5_o_1[3]),
        .r5_o_0_4(r5_o_1[4]),
        .r5_o_0(r5_o_0[0]),
        .r5_o_1(r5_o_0[1]),
        .r5_o_2(r5_o_0[2]),
        .r5_o_3(r5_o_0[3]),
        .r5_o_4(r5_o_0[4]),
        .clk_c(clk_c)
);
// @14:297
  r5_reg_2 rnd_pass2 (
        .r5_o_0_0(r5_o_0[0]),
        .r5_o_0_1(r5_o_0[1]),
        .r5_o_0_2(r5_o_0[2]),
        .r5_o_0_3(r5_o_0[3]),
        .r5_o_0_4(r5_o_0[4]),
        .r5_o_0(r5_o[0]),
        .r5_o_1(r5_o[1]),
        .r5_o_2(r5_o[2]),
        .r5_o_3(r5_o[3]),
        .r5_o_4(r5_o[4]),
        .clk_c(clk_c)
);
// @14:306
  r32_reg_7 rs_reg (
        .dout_iv_1_0(dout_iv_1_0_d0),
        .dout_iv_1_1(dout_iv_1[1]),
        .dout_iv_1_2(dout_iv_1[2]),
        .dout_iv_1_3(dout_iv_1[3]),
        .dout_iv_1_4(dout_iv_1_4),
        .dout_iv_1_5(dout_iv_1[5]),
        .dout_iv_1_6(dout_iv_1[6]),
        .dout_iv_1_7(dout_iv_1[7]),
        .dout_iv_1_8(dout_iv_1[8]),
        .dout_iv_1_9(dout_iv_1[9]),
        .dout_iv_1_10(dout_iv_1_10),
        .dout_iv_1_11(dout_iv_1_11),
        .dout_iv_1_12(dout_iv_1[12]),
        .dout_iv_1_13(dout_iv_1_13),
        .dout_iv_1_14(dout_iv_1_14),
        .dout_iv_1_15(dout_iv_1[15]),
        .dout_iv_1_16(dout_iv_1_16),
        .dout_iv_1_17(dout_iv_1[17]),
        .dout_iv_1_18(dout_iv_1_18),
        .dout_iv_1_19(dout_iv_1_19),
        .dout_iv_1_20(dout_iv_1[20]),
        .dout_iv_1_21(dout_iv_1[21]),
        .dout_iv_1_22(dout_iv_1_22),
        .dout_iv_1_23(dout_iv_1[23]),
        .dout_iv_1_24(dout_iv_1_24),
        .dout_iv_1_25(dout_iv_1[25]),
        .dout_iv_1_26(dout_iv_1_26),
        .dout_iv_1_27(dout_iv_1_27),
        .dout_iv_1_28(dout_iv_1[28]),
        .dout_iv_1_29(dout_iv_1[29]),
        .dout_iv_1_30(dout_iv_1_30),
        .reg_bank_m_0(reg_bank_m[31]),
        .dout_iv_a_0(dout_iv_a[31]),
        .wb_o_0(wb_o_0),
        .wb_o_1(wb_o[1]),
        .wb_o_2(wb_o_2),
        .wb_o_3(wb_o[3]),
        .wb_o_4(wb_o_4),
        .wb_o_5(wb_o[5]),
        .wb_o_6(wb_o[6]),
        .wb_o_7(wb_o[7]),
        .wb_o_8(wb_o_8),
        .wb_o_9(wb_o[9]),
        .wb_o_10(wb_o_10),
        .wb_o_11(wb_o_11),
        .wb_o_12(wb_o[12]),
        .wb_o_13(wb_o_13),
        .wb_o_14(wb_o_14),
        .wb_o_15(wb_o_15),
        .wb_o_16(wb_o_16),
        .wb_o_17(wb_o[17]),
        .wb_o_18(wb_o_18),
        .wb_o_19(wb_o_19),
        .wb_o_20(wb_o[20]),
        .wb_o_21(wb_o[21]),
        .wb_o_22(wb_o_22),
        .wb_o_23(wb_o[23]),
        .wb_o_24(wb_o_24),
        .wb_o_25(wb_o_25),
        .wb_o_26(wb_o_26),
        .wb_o_27(wb_o_27),
        .wb_o_28(wb_o_28),
        .wb_o_29(wb_o[29]),
        .wb_o_30(wb_o_30),
        .wb_o_31(wb_o_31),
        .r32_o_0(r32_o_7[0]),
        .r32_o_1(r32_o_6[1]),
        .r32_o_2(r32_o_5[2]),
        .r32_o_3(r32_o_5[3]),
        .r32_o_4(r32_o_6[4]),
        .r32_o_5(r32_o_6[5]),
        .r32_o_6(r32_o_6[6]),
        .r32_o_7(r32_o_6[7]),
        .r32_o_8(r32_o_6[8]),
        .r32_o_9(r32_o_6[9]),
        .r32_o_10(r32_o_6[10]),
        .r32_o_11(r32_o_6[11]),
        .r32_o_12(r32_o_6[12]),
        .r32_o_13(r32_o_6[13]),
        .r32_o_14(r32_o_6[14]),
        .r32_o_15(r32_o_6[15]),
        .r32_o_16(r32_o_6[16]),
        .r32_o_17(r32_o_6[17]),
        .r32_o_18(r32_o_5[18]),
        .r32_o_19(r32_o_5[19]),
        .r32_o_20(r32_o_6[20]),
        .r32_o_21(r32_o_6[21]),
        .r32_o_22(r32_o_6[22]),
        .r32_o_23(r32_o_5[23]),
        .r32_o_24(r32_o_6[24]),
        .r32_o_25(r32_o_6[25]),
        .r32_o_26(r32_o_5[26]),
        .r32_o_27(r32_o_5[27]),
        .r32_o_28(r32_o_5[28]),
        .r32_o_29(r32_o_5[29]),
        .r32_o_30(r32_o_5[30]),
        .r32_o_31(r32_o_5[31]),
        .dout7_0_a2_0_a2_0_a2_0_a2(dout7_0_a2_0_a2_0_a2_0_a2),
        .clk_c(clk_c)
);
// @14:315
  r32_reg_8 rt_reg (
        .dout_iv_1_0(dout_iv_1_0[0]),
        .dout_iv_1_1(dout_iv_1_0[1]),
        .dout_iv_1_2(dout_iv_1_0_2),
        .dout_iv_1_3(dout_iv_1_0[3]),
        .dout_iv_1_4(dout_iv_1_0[4]),
        .dout_iv_1_5(dout_iv_1_0[5]),
        .dout_iv_1_6(dout_iv_1_0[6]),
        .dout_iv_1_7(dout_iv_1_0[7]),
        .dout_iv_1_8(dout_iv_1_0_8),
        .dout_iv_1_9(dout_iv_1_0[9]),
        .dout_iv_1_10(dout_iv_1_0_10),
        .dout_iv_1_11(dout_iv_1_0_11),
        .dout_iv_1_12(dout_iv_1_0[12]),
        .dout_iv_1_13(dout_iv_1_0[13]),
        .dout_iv_1_14(dout_iv_1_0_14),
        .dout_iv_1_15(dout_iv_1_0_15),
        .dout_iv_1_16(dout_iv_1_0[16]),
        .dout_iv_1_17(dout_iv_1_0[17]),
        .dout_iv_1_18(dout_iv_1_0_18),
        .dout_iv_1_19(dout_iv_1_0_19),
        .dout_iv_1_20(dout_iv_1_0[20]),
        .dout_iv_1_21(dout_iv_1_0[21]),
        .dout_iv_1_22(dout_iv_1_0_22),
        .dout_iv_1_23(dout_iv_1_0[23]),
        .dout_iv_1_24(dout_iv_1_0_24),
        .dout_iv_1_25(dout_iv_1_0_25),
        .dout_iv_1_26(dout_iv_1_0_26),
        .dout_iv_1_27(dout_iv_1_0_27),
        .dout_iv_1_28(dout_iv_1_0_28),
        .dout_iv_1_29(dout_iv_1_0[29]),
        .dout_iv_1_30(dout_iv_1_0_30),
        .dout_iv_1_31(dout_iv_1_31),
        .wb_o_0(wb_o_0),
        .wb_o_1(wb_o[1]),
        .wb_o_2(wb_o_2),
        .wb_o_3(wb_o[3]),
        .wb_o_4(wb_o_4),
        .wb_o_5(wb_o[5]),
        .wb_o_6(wb_o[6]),
        .wb_o_7(wb_o[7]),
        .wb_o_8(wb_o_8),
        .wb_o_9(wb_o[9]),
        .wb_o_10(wb_o_10),
        .wb_o_11(wb_o_11),
        .wb_o_12(wb_o[12]),
        .wb_o_13(wb_o_13),
        .wb_o_14(wb_o_14),
        .wb_o_15(wb_o_15),
        .wb_o_16(wb_o_16),
        .wb_o_17(wb_o[17]),
        .wb_o_18(wb_o_18),
        .wb_o_19(wb_o_19),
        .wb_o_20(wb_o[20]),
        .wb_o_21(wb_o[21]),
        .wb_o_22(wb_o_22),
        .wb_o_23(wb_o[23]),
        .wb_o_24(wb_o_24),
        .wb_o_25(wb_o_25),
        .wb_o_26(wb_o_26),
        .wb_o_27(wb_o_27),
        .wb_o_28(wb_o_28),
        .wb_o_29(wb_o[29]),
        .wb_o_30(wb_o_30),
        .wb_o_31(wb_o_31),
        .r32_o_0(r32_o[0]),
        .r32_o_1(r32_o_7_1),
        .r32_o_2(r32_o_7_2),
        .r32_o_3(r32_o_7_3),
        .r32_o_4(r32_o[4]),
        .r32_o_5(r32_o_7_5),
        .r32_o_6(r32_o_7_6),
        .r32_o_7(r32_o_7_7),
        .r32_o_8(r32_o_7_8),
        .r32_o_9(r32_o_7_9),
        .r32_o_10(r32_o_7_10),
        .r32_o_11(r32_o_7_11),
        .r32_o_12(r32_o_7_12),
        .r32_o_13(r32_o_7_13),
        .r32_o_14(r32_o_7_14),
        .r32_o_15(r32_o_7_15),
        .r32_o_16(r32_o[16]),
        .r32_o_17(r32_o_7_17),
        .r32_o_18(r32_o_7_18),
        .r32_o_19(r32_o_7_19),
        .r32_o_20(r32_o_7_20),
        .r32_o_21(r32_o_7_21),
        .r32_o_22(r32_o_7_22),
        .r32_o_23(r32_o_7_23),
        .r32_o_24(r32_o_7_24),
        .r32_o_25(r32_o_7_25),
        .r32_o_26(r32_o_6_26),
        .r32_o_27(r32_o_6_27),
        .r32_o_28(r32_o_6[28]),
        .r32_o_29(r32_o_6_29),
        .r32_o_30(r32_o_6_30),
        .r32_o_31(r32_o_6_31),
        .dout7_0_a2_0_a2_0_a2_0_a2(dout7_0_a2_0_a2_0_a2_0_a2_0),
        .clk_c(clk_c)
);
endmodule /* mips_core */

// VQM4.1+ 
module uart_read (
  buffer_reg_7,
  buffer_reg_6,
  buffer_reg_5,
  buffer_reg_4,
  buffer_reg_3,
  buffer_reg_2,
  buffer_reg_1,
  buffer_reg_0,
  ua_state_2,
  clk_ctr_3,
  clk_ctr_2,
  clk_ctr_0,
  un1_clk_ctr_equ0_0_a2_0,
  un1_clk_ctr_equ0_0_a2,
  G_303,
  G_295_x,
  ser_rxd_c,
  int_req,
  rst_c,
  clk_ctr_equ15_0_a2,
  clk_c
);
output buffer_reg_7 ;
output buffer_reg_6 ;
output buffer_reg_5 ;
output buffer_reg_4 ;
output buffer_reg_3 ;
output buffer_reg_2 ;
output buffer_reg_1 ;
output buffer_reg_0 ;
output ua_state_2 ;
output clk_ctr_3 ;
output clk_ctr_2 ;
output clk_ctr_0 ;
output un1_clk_ctr_equ0_0_a2_0 ;
output un1_clk_ctr_equ0_0_a2 ;
input G_303 ;
input G_295_x ;
input ser_rxd_c ;
output int_req ;
input rst_c ;
output clk_ctr_equ15_0_a2 ;
input clk_c ;
wire buffer_reg_7 ;
wire buffer_reg_6 ;
wire buffer_reg_5 ;
wire buffer_reg_4 ;
wire buffer_reg_3 ;
wire buffer_reg_2 ;
wire buffer_reg_1 ;
wire buffer_reg_0 ;
wire ua_state_2 ;
wire clk_ctr_3 ;
wire clk_ctr_2 ;
wire clk_ctr_0 ;
wire un1_clk_ctr_equ0_0_a2_0 ;
wire un1_clk_ctr_equ0_0_a2 ;
wire G_303 ;
wire G_295_x ;
wire ser_rxd_c ;
wire int_req ;
wire rst_c ;
wire clk_ctr_equ15_0_a2 ;
wire clk_c ;
wire [2:0] bit_ctr;
wire [1:0] bit_ctr_cout;
wire [14:0] clk_ctr_cout;
wire [15:1] clk_ctr;
wire [4:1] ua_state;
wire [2:2] ua_state_ns_0_a;
wire [0:0] ua_state_i;
wire [7:0] rx_sr;
wire bit_ctr23_i_i ;
wire VCC ;
wire clk_ctr27_i_i ;
wire rxq1 ;
wire clk_ctr27_i_0_a ;
wire clk_ctr27_i_0_a5_4 ;
wire clk_ctr27_i_0_a5_5 ;
wire clk_ctr_equ15_0_a2_a ;
wire clk_ctr27_i_0_a5_5_a ;
wire un1_clk_ctr_equ0_0_a2_0_a ;
wire un1_clk_ctr_equ0_0_a2_a ;
wire N_42 ;
wire N_41 ;
wire N_40 ;
wire N_39 ;
wire N_38 ;
wire GND ;
wire rst_c_i ;
wire clk_ctr27_i_i_i ;
wire bit_ctr23_i_i_i ;
//@1:1
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @17:270
  cyclone_lcell bit_ctr_0__Z (
        .regout(bit_ctr[0]),
        .cout(bit_ctr_cout[0]),
        .clk(clk_c),
        .dataa(bit_ctr[0]),
        .datab(clk_ctr_equ15_0_a2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(bit_ctr23_i_i_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr_0__Z.operation_mode="arithmetic";
defparam bit_ctr_0__Z.output_mode="reg_only";
defparam bit_ctr_0__Z.lut_mask="6688";
defparam bit_ctr_0__Z.synch_mode="on";
defparam bit_ctr_0__Z.sum_lutc_input="datac";
// @17:270
  cyclone_lcell bit_ctr_1__Z (
        .regout(bit_ctr[1]),
        .cout(bit_ctr_cout[1]),
        .clk(clk_c),
        .dataa(bit_ctr[1]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(bit_ctr23_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(bit_ctr_cout[0]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr_1__Z.cin_used="true";
defparam bit_ctr_1__Z.operation_mode="arithmetic";
defparam bit_ctr_1__Z.output_mode="reg_only";
defparam bit_ctr_1__Z.lut_mask="5aa0";
defparam bit_ctr_1__Z.synch_mode="on";
defparam bit_ctr_1__Z.sum_lutc_input="cin";
// @17:270
  cyclone_lcell bit_ctr_2__Z (
        .regout(bit_ctr[2]),
        .clk(clk_c),
        .dataa(bit_ctr[2]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(bit_ctr23_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(bit_ctr_cout[1]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr_2__Z.cin_used="true";
defparam bit_ctr_2__Z.operation_mode="normal";
defparam bit_ctr_2__Z.output_mode="reg_only";
defparam bit_ctr_2__Z.lut_mask="5a5a";
defparam bit_ctr_2__Z.synch_mode="on";
defparam bit_ctr_2__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_0__Z (
        .regout(clk_ctr_0),
        .cout(clk_ctr_cout[0]),
        .clk(clk_c),
        .dataa(clk_ctr_0),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_0__Z.operation_mode="arithmetic";
defparam clk_ctr_0__Z.output_mode="reg_only";
defparam clk_ctr_0__Z.lut_mask="55aa";
defparam clk_ctr_0__Z.synch_mode="on";
defparam clk_ctr_0__Z.sum_lutc_input="datac";
// @17:257
  cyclone_lcell clk_ctr_1__Z (
        .regout(clk_ctr[1]),
        .cout(clk_ctr_cout[1]),
        .clk(clk_c),
        .dataa(clk_ctr[1]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[0]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_1__Z.cin_used="true";
defparam clk_ctr_1__Z.operation_mode="arithmetic";
defparam clk_ctr_1__Z.output_mode="reg_only";
defparam clk_ctr_1__Z.lut_mask="5aa0";
defparam clk_ctr_1__Z.synch_mode="on";
defparam clk_ctr_1__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_2__Z (
        .regout(clk_ctr_2),
        .cout(clk_ctr_cout[2]),
        .clk(clk_c),
        .dataa(clk_ctr_2),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[1]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_2__Z.cin_used="true";
defparam clk_ctr_2__Z.operation_mode="arithmetic";
defparam clk_ctr_2__Z.output_mode="reg_only";
defparam clk_ctr_2__Z.lut_mask="5aa0";
defparam clk_ctr_2__Z.synch_mode="on";
defparam clk_ctr_2__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_3__Z (
        .regout(clk_ctr_3),
        .cout(clk_ctr_cout[3]),
        .clk(clk_c),
        .dataa(clk_ctr_3),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[2]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_3__Z.cin_used="true";
defparam clk_ctr_3__Z.operation_mode="arithmetic";
defparam clk_ctr_3__Z.output_mode="reg_only";
defparam clk_ctr_3__Z.lut_mask="5aa0";
defparam clk_ctr_3__Z.synch_mode="on";
defparam clk_ctr_3__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_4__Z (
        .regout(clk_ctr[4]),
        .cout(clk_ctr_cout[4]),
        .clk(clk_c),
        .dataa(clk_ctr[4]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[3]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_4__Z.cin_used="true";
defparam clk_ctr_4__Z.operation_mode="arithmetic";
defparam clk_ctr_4__Z.output_mode="reg_only";
defparam clk_ctr_4__Z.lut_mask="5aa0";
defparam clk_ctr_4__Z.synch_mode="on";
defparam clk_ctr_4__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_5__Z (
        .regout(clk_ctr[5]),
        .cout(clk_ctr_cout[5]),
        .clk(clk_c),
        .dataa(clk_ctr[5]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[4]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_5__Z.cin_used="true";
defparam clk_ctr_5__Z.operation_mode="arithmetic";
defparam clk_ctr_5__Z.output_mode="reg_only";
defparam clk_ctr_5__Z.lut_mask="5aa0";
defparam clk_ctr_5__Z.synch_mode="on";
defparam clk_ctr_5__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_6__Z (
        .regout(clk_ctr[6]),
        .cout(clk_ctr_cout[6]),
        .clk(clk_c),
        .dataa(clk_ctr[6]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[5]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_6__Z.cin_used="true";
defparam clk_ctr_6__Z.operation_mode="arithmetic";
defparam clk_ctr_6__Z.output_mode="reg_only";
defparam clk_ctr_6__Z.lut_mask="5aa0";
defparam clk_ctr_6__Z.synch_mode="on";
defparam clk_ctr_6__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_7__Z (
        .regout(clk_ctr[7]),
        .cout(clk_ctr_cout[7]),
        .clk(clk_c),
        .dataa(clk_ctr[7]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[6]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_7__Z.cin_used="true";
defparam clk_ctr_7__Z.operation_mode="arithmetic";
defparam clk_ctr_7__Z.output_mode="reg_only";
defparam clk_ctr_7__Z.lut_mask="5aa0";
defparam clk_ctr_7__Z.synch_mode="on";
defparam clk_ctr_7__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_8__Z (
        .regout(clk_ctr[8]),
        .cout(clk_ctr_cout[8]),
        .clk(clk_c),
        .dataa(clk_ctr[8]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[7]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_8__Z.cin_used="true";
defparam clk_ctr_8__Z.operation_mode="arithmetic";
defparam clk_ctr_8__Z.output_mode="reg_only";
defparam clk_ctr_8__Z.lut_mask="5aa0";
defparam clk_ctr_8__Z.synch_mode="on";
defparam clk_ctr_8__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_9__Z (
        .regout(clk_ctr[9]),
        .cout(clk_ctr_cout[9]),
        .clk(clk_c),
        .dataa(clk_ctr[9]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[8]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_9__Z.cin_used="true";
defparam clk_ctr_9__Z.operation_mode="arithmetic";
defparam clk_ctr_9__Z.output_mode="reg_only";
defparam clk_ctr_9__Z.lut_mask="5aa0";
defparam clk_ctr_9__Z.synch_mode="on";
defparam clk_ctr_9__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_10__Z (
        .regout(clk_ctr[10]),
        .cout(clk_ctr_cout[10]),
        .clk(clk_c),
        .dataa(clk_ctr[10]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[9]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_10__Z.cin_used="true";
defparam clk_ctr_10__Z.operation_mode="arithmetic";
defparam clk_ctr_10__Z.output_mode="reg_only";
defparam clk_ctr_10__Z.lut_mask="5aa0";
defparam clk_ctr_10__Z.synch_mode="on";
defparam clk_ctr_10__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_11__Z (
        .regout(clk_ctr[11]),
        .cout(clk_ctr_cout[11]),
        .clk(clk_c),
        .dataa(clk_ctr[11]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[10]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_11__Z.cin_used="true";
defparam clk_ctr_11__Z.operation_mode="arithmetic";
defparam clk_ctr_11__Z.output_mode="reg_only";
defparam clk_ctr_11__Z.lut_mask="5aa0";
defparam clk_ctr_11__Z.synch_mode="on";
defparam clk_ctr_11__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_12__Z (
        .regout(clk_ctr[12]),
        .cout(clk_ctr_cout[12]),
        .clk(clk_c),
        .dataa(clk_ctr[12]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[11]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_12__Z.cin_used="true";
defparam clk_ctr_12__Z.operation_mode="arithmetic";
defparam clk_ctr_12__Z.output_mode="reg_only";
defparam clk_ctr_12__Z.lut_mask="5aa0";
defparam clk_ctr_12__Z.synch_mode="on";
defparam clk_ctr_12__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_13__Z (
        .regout(clk_ctr[13]),
        .cout(clk_ctr_cout[13]),
        .clk(clk_c),
        .dataa(clk_ctr[13]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[12]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_13__Z.cin_used="true";
defparam clk_ctr_13__Z.operation_mode="arithmetic";
defparam clk_ctr_13__Z.output_mode="reg_only";
defparam clk_ctr_13__Z.lut_mask="5aa0";
defparam clk_ctr_13__Z.synch_mode="on";
defparam clk_ctr_13__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_14__Z (
        .regout(clk_ctr[14]),
        .cout(clk_ctr_cout[14]),
        .clk(clk_c),
        .dataa(clk_ctr[14]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[13]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_14__Z.cin_used="true";
defparam clk_ctr_14__Z.operation_mode="arithmetic";
defparam clk_ctr_14__Z.output_mode="reg_only";
defparam clk_ctr_14__Z.lut_mask="5aa0";
defparam clk_ctr_14__Z.synch_mode="on";
defparam clk_ctr_14__Z.sum_lutc_input="cin";
// @17:257
  cyclone_lcell clk_ctr_15__Z (
        .regout(clk_ctr[15]),
        .clk(clk_c),
        .dataa(clk_ctr[15]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr27_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout[14]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_15__Z.cin_used="true";
defparam clk_ctr_15__Z.operation_mode="normal";
defparam clk_ctr_15__Z.output_mode="reg_only";
defparam clk_ctr_15__Z.lut_mask="5a5a";
defparam clk_ctr_15__Z.synch_mode="on";
defparam clk_ctr_15__Z.sum_lutc_input="cin";
// @17:295
  cyclone_lcell ua_state_4__Z (
        .regout(ua_state[4]),
        .clk(clk_c),
        .dataa(ua_state_2),
        .datab(clk_ctr_equ15_0_a2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_4__Z.operation_mode="normal";
defparam ua_state_4__Z.output_mode="reg_only";
defparam ua_state_4__Z.lut_mask="8888";
defparam ua_state_4__Z.synch_mode="on";
defparam ua_state_4__Z.sum_lutc_input="datac";
// @17:294
  cyclone_lcell ua_state_3__Z (
        .regout(ua_state_2),
        .clk(clk_c),
        .dataa(ua_state_2),
        .datab(ua_state[2]),
        .datac(ua_state_ns_0_a[2]),
        .datad(clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_3__Z.operation_mode="normal";
defparam ua_state_3__Z.output_mode="reg_only";
defparam ua_state_3__Z.lut_mask="04aa";
defparam ua_state_3__Z.synch_mode="on";
defparam ua_state_3__Z.sum_lutc_input="datac";
// @17:293
  cyclone_lcell ua_state_2__Z (
        .regout(ua_state[2]),
        .clk(clk_c),
        .dataa(ua_state[2]),
        .datab(ua_state[1]),
        .datac(ua_state_ns_0_a[2]),
        .datad(clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_2__Z.operation_mode="normal";
defparam ua_state_2__Z.output_mode="reg_only";
defparam ua_state_2__Z.lut_mask="ecaa";
defparam ua_state_2__Z.synch_mode="on";
defparam ua_state_2__Z.sum_lutc_input="datac";
// @17:292
  cyclone_lcell ua_state_1__Z (
        .regout(ua_state[1]),
        .clk(clk_c),
        .dataa(rxq1),
        .datab(ua_state_i[0]),
        .datac(ua_state[1]),
        .datad(clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_1__Z.operation_mode="normal";
defparam ua_state_1__Z.output_mode="reg_only";
defparam ua_state_1__Z.lut_mask="11f1";
defparam ua_state_1__Z.synch_mode="on";
defparam ua_state_1__Z.sum_lutc_input="datac";
// @17:291
  cyclone_lcell ua_state_i_0__Z (
        .regout(ua_state_i[0]),
        .clk(clk_c),
        .dataa(rxq1),
        .datab(ua_state_i[0]),
        .datac(ua_state[4]),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_i_0__Z.operation_mode="normal";
defparam ua_state_i_0__Z.output_mode="reg_only";
defparam ua_state_i_0__Z.lut_mask="0d0d";
defparam ua_state_i_0__Z.synch_mode="on";
defparam ua_state_i_0__Z.sum_lutc_input="datac";
// @17:309
  cyclone_lcell int_req_Z (
        .regout(int_req),
        .clk(clk_c),
        .dataa(ua_state[4]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam int_req_Z.operation_mode="normal";
defparam int_req_Z.output_mode="reg_only";
defparam int_req_Z.lut_mask="aaaa";
defparam int_req_Z.synch_mode="on";
defparam int_req_Z.sum_lutc_input="datac";
// @17:252
  cyclone_lcell rxq1_Z (
        .regout(rxq1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(ser_rxd_c),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rxq1_Z.operation_mode="normal";
defparam rxq1_Z.output_mode="reg_only";
defparam rxq1_Z.lut_mask="ff00";
defparam rxq1_Z.synch_mode="off";
defparam rxq1_Z.sum_lutc_input="datac";
// @17:317
  cyclone_lcell rx_sr_0__Z (
        .regout(rx_sr[0]),
        .clk(clk_c),
        .dataa(rx_sr[1]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_295_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rx_sr_0__Z.operation_mode="normal";
defparam rx_sr_0__Z.output_mode="reg_only";
defparam rx_sr_0__Z.lut_mask="aaaa";
defparam rx_sr_0__Z.synch_mode="on";
defparam rx_sr_0__Z.sum_lutc_input="datac";
// @17:317
  cyclone_lcell rx_sr_1__Z (
        .regout(rx_sr[1]),
        .clk(clk_c),
        .dataa(rx_sr[2]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_295_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rx_sr_1__Z.operation_mode="normal";
defparam rx_sr_1__Z.output_mode="reg_only";
defparam rx_sr_1__Z.lut_mask="aaaa";
defparam rx_sr_1__Z.synch_mode="on";
defparam rx_sr_1__Z.sum_lutc_input="datac";
// @17:317
  cyclone_lcell rx_sr_2__Z (
        .regout(rx_sr[2]),
        .clk(clk_c),
        .dataa(rx_sr[3]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_295_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rx_sr_2__Z.operation_mode="normal";
defparam rx_sr_2__Z.output_mode="reg_only";
defparam rx_sr_2__Z.lut_mask="aaaa";
defparam rx_sr_2__Z.synch_mode="on";
defparam rx_sr_2__Z.sum_lutc_input="datac";
// @17:317
  cyclone_lcell rx_sr_3__Z (
        .regout(rx_sr[3]),
        .clk(clk_c),
        .dataa(rx_sr[4]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_295_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rx_sr_3__Z.operation_mode="normal";
defparam rx_sr_3__Z.output_mode="reg_only";
defparam rx_sr_3__Z.lut_mask="aaaa";
defparam rx_sr_3__Z.synch_mode="on";
defparam rx_sr_3__Z.sum_lutc_input="datac";
// @17:317
  cyclone_lcell rx_sr_4__Z (
        .regout(rx_sr[4]),
        .clk(clk_c),
        .dataa(rx_sr[5]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_295_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rx_sr_4__Z.operation_mode="normal";
defparam rx_sr_4__Z.output_mode="reg_only";
defparam rx_sr_4__Z.lut_mask="aaaa";
defparam rx_sr_4__Z.synch_mode="on";
defparam rx_sr_4__Z.sum_lutc_input="datac";
// @17:317
  cyclone_lcell rx_sr_5__Z (
        .regout(rx_sr[5]),
        .clk(clk_c),
        .dataa(rx_sr[6]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_295_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rx_sr_5__Z.operation_mode="normal";
defparam rx_sr_5__Z.output_mode="reg_only";
defparam rx_sr_5__Z.lut_mask="aaaa";
defparam rx_sr_5__Z.synch_mode="on";
defparam rx_sr_5__Z.sum_lutc_input="datac";
// @17:317
  cyclone_lcell rx_sr_6__Z (
        .regout(rx_sr[6]),
        .clk(clk_c),
        .dataa(rx_sr[7]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_295_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rx_sr_6__Z.operation_mode="normal";
defparam rx_sr_6__Z.output_mode="reg_only";
defparam rx_sr_6__Z.lut_mask="aaaa";
defparam rx_sr_6__Z.synch_mode="on";
defparam rx_sr_6__Z.sum_lutc_input="datac";
// @17:317
  cyclone_lcell rx_sr_7__Z (
        .regout(rx_sr[7]),
        .clk(clk_c),
        .dataa(rxq1),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_295_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rx_sr_7__Z.operation_mode="normal";
defparam rx_sr_7__Z.output_mode="reg_only";
defparam rx_sr_7__Z.lut_mask="aaaa";
defparam rx_sr_7__Z.synch_mode="on";
defparam rx_sr_7__Z.sum_lutc_input="datac";
// @17:303
  cyclone_lcell buffer_reg_0__Z (
        .regout(buffer_reg_0),
        .clk(clk_c),
        .dataa(rx_sr[0]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_303),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam buffer_reg_0__Z.operation_mode="normal";
defparam buffer_reg_0__Z.output_mode="reg_only";
defparam buffer_reg_0__Z.lut_mask="aaaa";
defparam buffer_reg_0__Z.synch_mode="on";
defparam buffer_reg_0__Z.sum_lutc_input="datac";
// @17:303
  cyclone_lcell buffer_reg_1__Z (
        .regout(buffer_reg_1),
        .clk(clk_c),
        .dataa(rx_sr[1]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_303),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam buffer_reg_1__Z.operation_mode="normal";
defparam buffer_reg_1__Z.output_mode="reg_only";
defparam buffer_reg_1__Z.lut_mask="aaaa";
defparam buffer_reg_1__Z.synch_mode="on";
defparam buffer_reg_1__Z.sum_lutc_input="datac";
// @17:303
  cyclone_lcell buffer_reg_2__Z (
        .regout(buffer_reg_2),
        .clk(clk_c),
        .dataa(rx_sr[2]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_303),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam buffer_reg_2__Z.operation_mode="normal";
defparam buffer_reg_2__Z.output_mode="reg_only";
defparam buffer_reg_2__Z.lut_mask="aaaa";
defparam buffer_reg_2__Z.synch_mode="on";
defparam buffer_reg_2__Z.sum_lutc_input="datac";
// @17:303
  cyclone_lcell buffer_reg_3__Z (
        .regout(buffer_reg_3),
        .clk(clk_c),
        .dataa(rx_sr[3]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_303),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam buffer_reg_3__Z.operation_mode="normal";
defparam buffer_reg_3__Z.output_mode="reg_only";
defparam buffer_reg_3__Z.lut_mask="aaaa";
defparam buffer_reg_3__Z.synch_mode="on";
defparam buffer_reg_3__Z.sum_lutc_input="datac";
// @17:303
  cyclone_lcell buffer_reg_4__Z (
        .regout(buffer_reg_4),
        .clk(clk_c),
        .dataa(rx_sr[4]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_303),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam buffer_reg_4__Z.operation_mode="normal";
defparam buffer_reg_4__Z.output_mode="reg_only";
defparam buffer_reg_4__Z.lut_mask="aaaa";
defparam buffer_reg_4__Z.synch_mode="on";
defparam buffer_reg_4__Z.sum_lutc_input="datac";
// @17:303
  cyclone_lcell buffer_reg_5__Z (
        .regout(buffer_reg_5),
        .clk(clk_c),
        .dataa(rx_sr[5]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_303),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam buffer_reg_5__Z.operation_mode="normal";
defparam buffer_reg_5__Z.output_mode="reg_only";
defparam buffer_reg_5__Z.lut_mask="aaaa";
defparam buffer_reg_5__Z.synch_mode="on";
defparam buffer_reg_5__Z.sum_lutc_input="datac";
// @17:303
  cyclone_lcell buffer_reg_6__Z (
        .regout(buffer_reg_6),
        .clk(clk_c),
        .dataa(rx_sr[6]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_303),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam buffer_reg_6__Z.operation_mode="normal";
defparam buffer_reg_6__Z.output_mode="reg_only";
defparam buffer_reg_6__Z.lut_mask="aaaa";
defparam buffer_reg_6__Z.synch_mode="on";
defparam buffer_reg_6__Z.sum_lutc_input="datac";
// @17:303
  cyclone_lcell buffer_reg_7__Z (
        .regout(buffer_reg_7),
        .clk(clk_c),
        .dataa(rx_sr[7]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_303),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam buffer_reg_7__Z.operation_mode="normal";
defparam buffer_reg_7__Z.output_mode="reg_only";
defparam buffer_reg_7__Z.lut_mask="aaaa";
defparam buffer_reg_7__Z.synch_mode="on";
defparam buffer_reg_7__Z.sum_lutc_input="datac";
// @17:52
  cyclone_lcell bit_ctr23_i_0_x (
        .combout(bit_ctr23_i_i),
        .dataa(rst_c),
        .datab(ua_state[2]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr23_i_0_x.operation_mode="normal";
defparam bit_ctr23_i_0_x.output_mode="comb_only";
defparam bit_ctr23_i_0_x.lut_mask="8888";
defparam bit_ctr23_i_0_x.synch_mode="off";
defparam bit_ctr23_i_0_x.sum_lutc_input="datac";
// @17:52
  cyclone_lcell clk_ctr27_i_0 (
        .combout(clk_ctr27_i_i),
        .dataa(rst_c),
        .datab(ua_state_i[0]),
        .datac(ua_state[4]),
        .datad(clk_ctr27_i_0_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr27_i_0.operation_mode="normal";
defparam clk_ctr27_i_0.output_mode="comb_only";
defparam clk_ctr27_i_0.lut_mask="0800";
defparam clk_ctr27_i_0.synch_mode="off";
defparam clk_ctr27_i_0.sum_lutc_input="datac";
// @17:52
  cyclone_lcell clk_ctr27_i_0_a_cZ (
        .combout(clk_ctr27_i_0_a),
        .dataa(clk_ctr_0),
        .datab(clk_ctr27_i_0_a5_4),
        .datac(clk_ctr27_i_0_a5_5),
        .datad(un1_clk_ctr_equ0_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr27_i_0_a_cZ.operation_mode="normal";
defparam clk_ctr27_i_0_a_cZ.output_mode="comb_only";
defparam clk_ctr27_i_0_a_cZ.lut_mask="7fff";
defparam clk_ctr27_i_0_a_cZ.synch_mode="off";
defparam clk_ctr27_i_0_a_cZ.sum_lutc_input="datac";
// @17:264
  cyclone_lcell clk_ctr_equ15_0_a2_cZ (
        .combout(clk_ctr_equ15_0_a2),
        .dataa(clk_ctr_0),
        .datab(clk_ctr_equ15_0_a2_a),
        .datac(un1_clk_ctr_equ0_0_a2),
        .datad(un1_clk_ctr_equ0_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_equ15_0_a2_cZ.operation_mode="normal";
defparam clk_ctr_equ15_0_a2_cZ.output_mode="comb_only";
defparam clk_ctr_equ15_0_a2_cZ.lut_mask="8000";
defparam clk_ctr_equ15_0_a2_cZ.synch_mode="off";
defparam clk_ctr_equ15_0_a2_cZ.sum_lutc_input="datac";
// @17:264
  cyclone_lcell clk_ctr_equ15_0_a2_a_cZ (
        .combout(clk_ctr_equ15_0_a2_a),
        .dataa(clk_ctr_2),
        .datab(clk_ctr_3),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_equ15_0_a2_a_cZ.operation_mode="normal";
defparam clk_ctr_equ15_0_a2_a_cZ.output_mode="comb_only";
defparam clk_ctr_equ15_0_a2_a_cZ.lut_mask="2222";
defparam clk_ctr_equ15_0_a2_a_cZ.synch_mode="off";
defparam clk_ctr_equ15_0_a2_a_cZ.sum_lutc_input="datac";
// @17:287
  cyclone_lcell ua_state_ns_0_a_2_ (
        .combout(ua_state_ns_0_a[2]),
        .dataa(bit_ctr[1]),
        .datab(bit_ctr[2]),
        .datac(bit_ctr[0]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_ns_0_a_2_.operation_mode="normal";
defparam ua_state_ns_0_a_2_.output_mode="comb_only";
defparam ua_state_ns_0_a_2_.lut_mask="7f7f";
defparam ua_state_ns_0_a_2_.synch_mode="off";
defparam ua_state_ns_0_a_2_.sum_lutc_input="datac";
// @17:52
  cyclone_lcell clk_ctr27_i_0_a5_5_cZ (
        .combout(clk_ctr27_i_0_a5_5),
        .dataa(clk_ctr_2),
        .datab(clk_ctr_3),
        .datac(clk_ctr[8]),
        .datad(clk_ctr27_i_0_a5_5_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr27_i_0_a5_5_cZ.operation_mode="normal";
defparam clk_ctr27_i_0_a5_5_cZ.output_mode="comb_only";
defparam clk_ctr27_i_0_a5_5_cZ.lut_mask="0400";
defparam clk_ctr27_i_0_a5_5_cZ.synch_mode="off";
defparam clk_ctr27_i_0_a5_5_cZ.sum_lutc_input="datac";
// @17:52
  cyclone_lcell clk_ctr27_i_0_a5_5_a_cZ (
        .combout(clk_ctr27_i_0_a5_5_a),
        .dataa(clk_ctr[11]),
        .datab(clk_ctr[10]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr27_i_0_a5_5_a_cZ.operation_mode="normal";
defparam clk_ctr27_i_0_a5_5_a_cZ.output_mode="comb_only";
defparam clk_ctr27_i_0_a5_5_a_cZ.lut_mask="2222";
defparam clk_ctr27_i_0_a5_5_a_cZ.synch_mode="off";
defparam clk_ctr27_i_0_a5_5_a_cZ.sum_lutc_input="datac";
// @17:305
  cyclone_lcell un1_clk_ctr_equ0_0_a2_0_cZ (
        .combout(un1_clk_ctr_equ0_0_a2_0),
        .dataa(clk_ctr[5]),
        .datab(clk_ctr[10]),
        .datac(clk_ctr[8]),
        .datad(un1_clk_ctr_equ0_0_a2_0_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_clk_ctr_equ0_0_a2_0_cZ.operation_mode="normal";
defparam un1_clk_ctr_equ0_0_a2_0_cZ.output_mode="comb_only";
defparam un1_clk_ctr_equ0_0_a2_0_cZ.lut_mask="4000";
defparam un1_clk_ctr_equ0_0_a2_0_cZ.synch_mode="off";
defparam un1_clk_ctr_equ0_0_a2_0_cZ.sum_lutc_input="datac";
// @17:305
  cyclone_lcell un1_clk_ctr_equ0_0_a2_0_a_cZ (
        .combout(un1_clk_ctr_equ0_0_a2_0_a),
        .dataa(clk_ctr[4]),
        .datab(clk_ctr[9]),
        .datac(clk_ctr[1]),
        .datad(clk_ctr[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_clk_ctr_equ0_0_a2_0_a_cZ.operation_mode="normal";
defparam un1_clk_ctr_equ0_0_a2_0_a_cZ.output_mode="comb_only";
defparam un1_clk_ctr_equ0_0_a2_0_a_cZ.lut_mask="0002";
defparam un1_clk_ctr_equ0_0_a2_0_a_cZ.synch_mode="off";
defparam un1_clk_ctr_equ0_0_a2_0_a_cZ.sum_lutc_input="datac";
// @17:305
  cyclone_lcell un1_clk_ctr_equ0_0_a2_cZ (
        .combout(un1_clk_ctr_equ0_0_a2),
        .dataa(clk_ctr[15]),
        .datab(clk_ctr[14]),
        .datac(clk_ctr[13]),
        .datad(un1_clk_ctr_equ0_0_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_clk_ctr_equ0_0_a2_cZ.operation_mode="normal";
defparam un1_clk_ctr_equ0_0_a2_cZ.output_mode="comb_only";
defparam un1_clk_ctr_equ0_0_a2_cZ.lut_mask="0100";
defparam un1_clk_ctr_equ0_0_a2_cZ.synch_mode="off";
defparam un1_clk_ctr_equ0_0_a2_cZ.sum_lutc_input="datac";
// @17:305
  cyclone_lcell un1_clk_ctr_equ0_0_a2_a_cZ (
        .combout(un1_clk_ctr_equ0_0_a2_a),
        .dataa(clk_ctr[6]),
        .datab(clk_ctr[7]),
        .datac(clk_ctr[12]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_clk_ctr_equ0_0_a2_a_cZ.operation_mode="normal";
defparam un1_clk_ctr_equ0_0_a2_a_cZ.output_mode="comb_only";
defparam un1_clk_ctr_equ0_0_a2_a_cZ.lut_mask="0101";
defparam un1_clk_ctr_equ0_0_a2_a_cZ.synch_mode="off";
defparam un1_clk_ctr_equ0_0_a2_a_cZ.sum_lutc_input="datac";
// @17:52
  cyclone_lcell clk_ctr27_i_0_a5_4_cZ (
        .combout(clk_ctr27_i_0_a5_4),
        .dataa(clk_ctr[5]),
        .datab(clk_ctr[4]),
        .datac(clk_ctr[1]),
        .datad(clk_ctr[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr27_i_0_a5_4_cZ.operation_mode="normal";
defparam clk_ctr27_i_0_a5_4_cZ.output_mode="comb_only";
defparam clk_ctr27_i_0_a5_4_cZ.lut_mask="2000";
defparam clk_ctr27_i_0_a5_4_cZ.synch_mode="off";
defparam clk_ctr27_i_0_a5_4_cZ.sum_lutc_input="datac";
//@17:287
  assign  rst_c_i = ~ rst_c;
  assign  clk_ctr27_i_i_i = ~ clk_ctr27_i_i;
  assign  bit_ctr23_i_i_i = ~ bit_ctr23_i_i;
endmodule /* uart_read */

// VQM4.1+ 
module rxd_d (
  cmd_0,
  int_req,
  clk_c,
  q
);
input cmd_0 ;
input int_req ;
input clk_c ;
output q ;
wire cmd_0 ;
wire int_req ;
wire clk_c ;
wire q ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @17:18
  cyclone_lcell q_Z (
        .regout(q),
        .clk(clk_c),
        .dataa(int_req),
        .datab(q),
        .datac(cmd_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_Z.operation_mode="normal";
defparam q_Z.output_mode="reg_only";
defparam q_Z.lut_mask="0e0e";
defparam q_Z.synch_mode="off";
defparam q_Z.sum_lutc_input="datac";
endmodule /* rxd_d */

// VQM4.1+ 
module scfifo_Z1 (
  data,
  clock,
  wrreq,
  rdreq,
  q,
  usedw,
  full,
  empty,
  almost_full,
  almost_empty
);
input [7:0] data ;
input clock ;
input wrreq ;
input rdreq ;
output [7:0] q ;
output [8:0] usedw ;
output full ;
output empty ;
output almost_full ;
output almost_empty ;
scfifo U1 (
  .data(data),
  .clock(clock),
  .wrreq(wrreq),
  .rdreq(rdreq),
  .q(q),
  .usedw(usedw),
  .full(full),
  .empty(empty),
  .almost_full(almost_full),
  .almost_empty(almost_empty)
 );
defparam U1.lpm_type =  "scfifo";
defparam U1.add_ram_output_register =  "OFF";
defparam U1.use_eab =  "ON";
defparam U1.lpm_hint =  "RAM_BLOCK_TYPE=AUTO";
defparam U1.overflow_checking =  "ON";
defparam U1.underflow_checking =  "ON";
defparam U1.intended_device_family =  "Cyclone";
defparam U1.lpm_showahead =  "OFF";
defparam U1.lpm_numwords =  512;
defparam U1.lpm_widthu =  9;
defparam U1.lpm_width =  8;
endmodule /* scfifo_Z1 */

// VQM4.1+ 
module fifo512_cyclone (
  queue_data_7,
  queue_data_6,
  queue_data_5,
  queue_data_4,
  queue_data_3,
  queue_data_2,
  queue_data_1,
  queue_data_0,
  ua_state_ns_0_a2_0_0,
  r32_o_7,
  r32_o_6,
  r32_o_5,
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_1,
  r32_o_0,
  empty,
  w_txd_busy,
  wr_uartdata_0_a2,
  clk_c
);
output queue_data_7 ;
output queue_data_6 ;
output queue_data_5 ;
output queue_data_4 ;
output queue_data_3 ;
output queue_data_2 ;
output queue_data_1 ;
output queue_data_0 ;
input ua_state_ns_0_a2_0_0 ;
input r32_o_7 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
output empty ;
output w_txd_busy ;
input wr_uartdata_0_a2 ;
input clk_c ;
wire queue_data_7 ;
wire queue_data_6 ;
wire queue_data_5 ;
wire queue_data_4 ;
wire queue_data_3 ;
wire queue_data_2 ;
wire queue_data_1 ;
wire queue_data_0 ;
wire ua_state_ns_0_a2_0_0 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire empty ;
wire w_txd_busy ;
wire wr_uartdata_0_a2 ;
wire clk_c ;
wire [8:0] usedw;
wire NC0 ;
wire NC1 ;
wire almost_full ;
wire almost_empty ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @19:66
  scfifo_Z1 scfifo_component (
        .data({r32_o_7, r32_o_6, r32_o_5, r32_o_4, r32_o_3, r32_o_2, r32_o_1, 
   r32_o_0}),
        .clock(clk_c),
        .wrreq(wr_uartdata_0_a2),
        .rdreq(ua_state_ns_0_a2_0_0),
        .q({queue_data_7, queue_data_6, queue_data_5, queue_data_4, queue_data_3, 
   queue_data_2, queue_data_1, queue_data_0}),
        .usedw({usedw[8], usedw[7], usedw[6], usedw[5], usedw[4], usedw[3], usedw[2], 
   usedw[1], usedw[0]}),
        .full(w_txd_busy),
        .empty(empty),
        .almost_full(almost_full),
        .almost_empty(almost_empty)
);
endmodule /* fifo512_cyclone */

// VQM4.1+ 
module uart_write (
  r32_o_0,
  r32_o_1,
  r32_o_2,
  r32_o_3,
  r32_o_4,
  r32_o_5,
  r32_o_6,
  r32_o_7,
  wr_uartdata_0_a2,
  w_txd_busy,
  bit_ctr23_i_0_o2,
  G_311,
  G_176_x,
  txd,
  read_request_ff,
  rst_c,
  clk_ctr_equ15_0_a2,
  clk_c
);
input r32_o_0 ;
input r32_o_1 ;
input r32_o_2 ;
input r32_o_3 ;
input r32_o_4 ;
input r32_o_5 ;
input r32_o_6 ;
input r32_o_7 ;
input wr_uartdata_0_a2 ;
output w_txd_busy ;
output bit_ctr23_i_0_o2 ;
input G_311 ;
input G_176_x ;
output txd ;
output read_request_ff ;
input rst_c ;
output clk_ctr_equ15_0_a2 ;
input clk_c ;
wire r32_o_0 ;
wire r32_o_1 ;
wire r32_o_2 ;
wire r32_o_3 ;
wire r32_o_4 ;
wire r32_o_5 ;
wire r32_o_6 ;
wire r32_o_7 ;
wire wr_uartdata_0_a2 ;
wire w_txd_busy ;
wire bit_ctr23_i_0_o2 ;
wire G_311 ;
wire G_176_x ;
wire txd ;
wire read_request_ff ;
wire rst_c ;
wire clk_ctr_equ15_0_a2 ;
wire clk_c ;
wire [2:0] bit_ctr;
wire [1:0] bit_ctr_cout_0;
wire [15:0] clk_ctr;
wire [14:0] clk_ctr_cout_0;
wire [7:1] ua_state;
wire [2:2] ua_state_ns_0_a;
wire [0:0] ua_state_i;
wire [7:0] tx_sr;
wire [7:0] queue_data;
wire [1:1] ua_state_ns_0_a2_0;
wire bit_ctr23_i_i ;
wire VCC ;
wire clk_ctr26_i_i ;
wire empty ;
wire txd_8 ;
wire txd_1_a ;
wire clk_ctr26_i_0_a4_0_5 ;
wire clk_ctr26_i_0_a4_0_6 ;
wire clk_ctr26_i_0_0 ;
wire clk_ctr26_i_0_a2 ;
wire clk_ctr_equ15_0_a2_7 ;
wire clk_ctr26_i_0_0_a ;
wire clk_ctr_equ15_0_a2_4 ;
wire clk_ctr_equ15_0_a2_7_a ;
wire clk_ctr26_i_0_a4_0_6_a ;
wire clk_ctr26_i_0_a2_a ;
wire N_33 ;
wire N_32 ;
wire N_31 ;
wire N_30 ;
wire N_29 ;
wire N_28 ;
wire N_27 ;
wire N_26 ;
wire GND ;
wire rst_c_i ;
wire clk_ctr26_i_i_i ;
wire bit_ctr23_i_i_i ;
//@1:1
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @17:165
  cyclone_lcell bit_ctr_0__Z (
        .regout(bit_ctr[0]),
        .cout(bit_ctr_cout_0[0]),
        .clk(clk_c),
        .dataa(bit_ctr[0]),
        .datab(clk_ctr_equ15_0_a2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(bit_ctr23_i_i_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr_0__Z.operation_mode="arithmetic";
defparam bit_ctr_0__Z.output_mode="reg_only";
defparam bit_ctr_0__Z.lut_mask="6688";
defparam bit_ctr_0__Z.synch_mode="on";
defparam bit_ctr_0__Z.sum_lutc_input="datac";
// @17:165
  cyclone_lcell bit_ctr_1__Z (
        .regout(bit_ctr[1]),
        .cout(bit_ctr_cout_0[1]),
        .clk(clk_c),
        .dataa(bit_ctr[1]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(bit_ctr23_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(bit_ctr_cout_0[0]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr_1__Z.cin_used="true";
defparam bit_ctr_1__Z.operation_mode="arithmetic";
defparam bit_ctr_1__Z.output_mode="reg_only";
defparam bit_ctr_1__Z.lut_mask="5aa0";
defparam bit_ctr_1__Z.synch_mode="on";
defparam bit_ctr_1__Z.sum_lutc_input="cin";
// @17:165
  cyclone_lcell bit_ctr_2__Z (
        .regout(bit_ctr[2]),
        .clk(clk_c),
        .dataa(bit_ctr[2]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(bit_ctr23_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(bit_ctr_cout_0[1]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr_2__Z.cin_used="true";
defparam bit_ctr_2__Z.operation_mode="normal";
defparam bit_ctr_2__Z.output_mode="reg_only";
defparam bit_ctr_2__Z.lut_mask="5a5a";
defparam bit_ctr_2__Z.synch_mode="on";
defparam bit_ctr_2__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_0__Z (
        .regout(clk_ctr[0]),
        .cout(clk_ctr_cout_0[0]),
        .clk(clk_c),
        .dataa(clk_ctr[0]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_0__Z.operation_mode="arithmetic";
defparam clk_ctr_0__Z.output_mode="reg_only";
defparam clk_ctr_0__Z.lut_mask="55aa";
defparam clk_ctr_0__Z.synch_mode="on";
defparam clk_ctr_0__Z.sum_lutc_input="datac";
// @17:152
  cyclone_lcell clk_ctr_1__Z (
        .regout(clk_ctr[1]),
        .cout(clk_ctr_cout_0[1]),
        .clk(clk_c),
        .dataa(clk_ctr[1]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[0]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_1__Z.cin_used="true";
defparam clk_ctr_1__Z.operation_mode="arithmetic";
defparam clk_ctr_1__Z.output_mode="reg_only";
defparam clk_ctr_1__Z.lut_mask="5aa0";
defparam clk_ctr_1__Z.synch_mode="on";
defparam clk_ctr_1__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_2__Z (
        .regout(clk_ctr[2]),
        .cout(clk_ctr_cout_0[2]),
        .clk(clk_c),
        .dataa(clk_ctr[2]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[1]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_2__Z.cin_used="true";
defparam clk_ctr_2__Z.operation_mode="arithmetic";
defparam clk_ctr_2__Z.output_mode="reg_only";
defparam clk_ctr_2__Z.lut_mask="5aa0";
defparam clk_ctr_2__Z.synch_mode="on";
defparam clk_ctr_2__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_3__Z (
        .regout(clk_ctr[3]),
        .cout(clk_ctr_cout_0[3]),
        .clk(clk_c),
        .dataa(clk_ctr[3]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[2]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_3__Z.cin_used="true";
defparam clk_ctr_3__Z.operation_mode="arithmetic";
defparam clk_ctr_3__Z.output_mode="reg_only";
defparam clk_ctr_3__Z.lut_mask="5aa0";
defparam clk_ctr_3__Z.synch_mode="on";
defparam clk_ctr_3__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_4__Z (
        .regout(clk_ctr[4]),
        .cout(clk_ctr_cout_0[4]),
        .clk(clk_c),
        .dataa(clk_ctr[4]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[3]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_4__Z.cin_used="true";
defparam clk_ctr_4__Z.operation_mode="arithmetic";
defparam clk_ctr_4__Z.output_mode="reg_only";
defparam clk_ctr_4__Z.lut_mask="5aa0";
defparam clk_ctr_4__Z.synch_mode="on";
defparam clk_ctr_4__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_5__Z (
        .regout(clk_ctr[5]),
        .cout(clk_ctr_cout_0[5]),
        .clk(clk_c),
        .dataa(clk_ctr[5]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[4]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_5__Z.cin_used="true";
defparam clk_ctr_5__Z.operation_mode="arithmetic";
defparam clk_ctr_5__Z.output_mode="reg_only";
defparam clk_ctr_5__Z.lut_mask="5aa0";
defparam clk_ctr_5__Z.synch_mode="on";
defparam clk_ctr_5__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_6__Z (
        .regout(clk_ctr[6]),
        .cout(clk_ctr_cout_0[6]),
        .clk(clk_c),
        .dataa(clk_ctr[6]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[5]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_6__Z.cin_used="true";
defparam clk_ctr_6__Z.operation_mode="arithmetic";
defparam clk_ctr_6__Z.output_mode="reg_only";
defparam clk_ctr_6__Z.lut_mask="5aa0";
defparam clk_ctr_6__Z.synch_mode="on";
defparam clk_ctr_6__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_7__Z (
        .regout(clk_ctr[7]),
        .cout(clk_ctr_cout_0[7]),
        .clk(clk_c),
        .dataa(clk_ctr[7]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[6]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_7__Z.cin_used="true";
defparam clk_ctr_7__Z.operation_mode="arithmetic";
defparam clk_ctr_7__Z.output_mode="reg_only";
defparam clk_ctr_7__Z.lut_mask="5aa0";
defparam clk_ctr_7__Z.synch_mode="on";
defparam clk_ctr_7__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_8__Z (
        .regout(clk_ctr[8]),
        .cout(clk_ctr_cout_0[8]),
        .clk(clk_c),
        .dataa(clk_ctr[8]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[7]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_8__Z.cin_used="true";
defparam clk_ctr_8__Z.operation_mode="arithmetic";
defparam clk_ctr_8__Z.output_mode="reg_only";
defparam clk_ctr_8__Z.lut_mask="5aa0";
defparam clk_ctr_8__Z.synch_mode="on";
defparam clk_ctr_8__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_9__Z (
        .regout(clk_ctr[9]),
        .cout(clk_ctr_cout_0[9]),
        .clk(clk_c),
        .dataa(clk_ctr[9]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[8]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_9__Z.cin_used="true";
defparam clk_ctr_9__Z.operation_mode="arithmetic";
defparam clk_ctr_9__Z.output_mode="reg_only";
defparam clk_ctr_9__Z.lut_mask="5aa0";
defparam clk_ctr_9__Z.synch_mode="on";
defparam clk_ctr_9__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_10__Z (
        .regout(clk_ctr[10]),
        .cout(clk_ctr_cout_0[10]),
        .clk(clk_c),
        .dataa(clk_ctr[10]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[9]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_10__Z.cin_used="true";
defparam clk_ctr_10__Z.operation_mode="arithmetic";
defparam clk_ctr_10__Z.output_mode="reg_only";
defparam clk_ctr_10__Z.lut_mask="5aa0";
defparam clk_ctr_10__Z.synch_mode="on";
defparam clk_ctr_10__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_11__Z (
        .regout(clk_ctr[11]),
        .cout(clk_ctr_cout_0[11]),
        .clk(clk_c),
        .dataa(clk_ctr[11]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[10]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_11__Z.cin_used="true";
defparam clk_ctr_11__Z.operation_mode="arithmetic";
defparam clk_ctr_11__Z.output_mode="reg_only";
defparam clk_ctr_11__Z.lut_mask="5aa0";
defparam clk_ctr_11__Z.synch_mode="on";
defparam clk_ctr_11__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_12__Z (
        .regout(clk_ctr[12]),
        .cout(clk_ctr_cout_0[12]),
        .clk(clk_c),
        .dataa(clk_ctr[12]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[11]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_12__Z.cin_used="true";
defparam clk_ctr_12__Z.operation_mode="arithmetic";
defparam clk_ctr_12__Z.output_mode="reg_only";
defparam clk_ctr_12__Z.lut_mask="5aa0";
defparam clk_ctr_12__Z.synch_mode="on";
defparam clk_ctr_12__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_13__Z (
        .regout(clk_ctr[13]),
        .cout(clk_ctr_cout_0[13]),
        .clk(clk_c),
        .dataa(clk_ctr[13]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[12]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_13__Z.cin_used="true";
defparam clk_ctr_13__Z.operation_mode="arithmetic";
defparam clk_ctr_13__Z.output_mode="reg_only";
defparam clk_ctr_13__Z.lut_mask="5aa0";
defparam clk_ctr_13__Z.synch_mode="on";
defparam clk_ctr_13__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_14__Z (
        .regout(clk_ctr[14]),
        .cout(clk_ctr_cout_0[14]),
        .clk(clk_c),
        .dataa(clk_ctr[14]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[13]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_14__Z.cin_used="true";
defparam clk_ctr_14__Z.operation_mode="arithmetic";
defparam clk_ctr_14__Z.output_mode="reg_only";
defparam clk_ctr_14__Z.lut_mask="5aa0";
defparam clk_ctr_14__Z.synch_mode="on";
defparam clk_ctr_14__Z.sum_lutc_input="cin";
// @17:152
  cyclone_lcell clk_ctr_15__Z (
        .regout(clk_ctr[15]),
        .clk(clk_c),
        .dataa(clk_ctr[15]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(clk_ctr26_i_i_i),
        .sload(GND),
        .ena(VCC),
        .cin(clk_ctr_cout_0[14]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_15__Z.cin_used="true";
defparam clk_ctr_15__Z.operation_mode="normal";
defparam clk_ctr_15__Z.output_mode="reg_only";
defparam clk_ctr_15__Z.lut_mask="5a5a";
defparam clk_ctr_15__Z.synch_mode="on";
defparam clk_ctr_15__Z.sum_lutc_input="cin";
// @17:193
  cyclone_lcell ua_state_7__Z (
        .regout(ua_state[7]),
        .clk(clk_c),
        .dataa(ua_state[6]),
        .datab(clk_ctr_equ15_0_a2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_7__Z.operation_mode="normal";
defparam ua_state_7__Z.output_mode="reg_only";
defparam ua_state_7__Z.lut_mask="8888";
defparam ua_state_7__Z.synch_mode="on";
defparam ua_state_7__Z.sum_lutc_input="datac";
// @17:189
  cyclone_lcell ua_state_3__Z (
        .regout(ua_state[3]),
        .clk(clk_c),
        .dataa(ua_state[3]),
        .datab(ua_state[2]),
        .datac(ua_state_ns_0_a[2]),
        .datad(clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_3__Z.operation_mode="normal";
defparam ua_state_3__Z.output_mode="reg_only";
defparam ua_state_3__Z.lut_mask="04aa";
defparam ua_state_3__Z.synch_mode="on";
defparam ua_state_3__Z.sum_lutc_input="datac";
// @17:188
  cyclone_lcell ua_state_2__Z (
        .regout(ua_state[2]),
        .clk(clk_c),
        .dataa(ua_state[2]),
        .datab(ua_state[1]),
        .datac(ua_state_ns_0_a[2]),
        .datad(clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_2__Z.operation_mode="normal";
defparam ua_state_2__Z.output_mode="reg_only";
defparam ua_state_2__Z.lut_mask="ecaa";
defparam ua_state_2__Z.synch_mode="on";
defparam ua_state_2__Z.sum_lutc_input="datac";
// @17:187
  cyclone_lcell ua_state_1__Z (
        .regout(ua_state[1]),
        .clk(clk_c),
        .dataa(empty),
        .datab(ua_state_i[0]),
        .datac(ua_state[1]),
        .datad(clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_1__Z.operation_mode="normal";
defparam ua_state_1__Z.output_mode="reg_only";
defparam ua_state_1__Z.lut_mask="11f1";
defparam ua_state_1__Z.synch_mode="on";
defparam ua_state_1__Z.sum_lutc_input="datac";
// @17:186
  cyclone_lcell ua_state_i_0__Z (
        .regout(ua_state_i[0]),
        .clk(clk_c),
        .dataa(empty),
        .datab(ua_state_i[0]),
        .datac(ua_state[7]),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_i_0__Z.operation_mode="normal";
defparam ua_state_i_0__Z.output_mode="reg_only";
defparam ua_state_i_0__Z.lut_mask="0d0d";
defparam ua_state_i_0__Z.synch_mode="on";
defparam ua_state_i_0__Z.sum_lutc_input="datac";
// @17:116
  cyclone_lcell read_request_ff_Z (
        .regout(read_request_ff),
        .clk(clk_c),
        .dataa(empty),
        .datab(ua_state_i[0]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam read_request_ff_Z.operation_mode="normal";
defparam read_request_ff_Z.output_mode="reg_only";
defparam read_request_ff_Z.lut_mask="1111";
defparam read_request_ff_Z.synch_mode="on";
defparam read_request_ff_Z.sum_lutc_input="datac";
// @17:213
  cyclone_lcell txd_Z (
        .regout(txd),
        .clk(clk_c),
        .dataa(rst_c),
        .datab(txd),
        .datac(txd_8),
        .datad(txd_1_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam txd_Z.operation_mode="normal";
defparam txd_Z.output_mode="reg_only";
defparam txd_Z.lut_mask="ddf5";
defparam txd_Z.synch_mode="off";
defparam txd_Z.sum_lutc_input="datac";
// @17:190
  cyclone_lcell ua_state_4__Z (
        .regout(ua_state[4]),
        .clk(clk_c),
        .dataa(ua_state[3]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_176_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_4__Z.operation_mode="normal";
defparam ua_state_4__Z.output_mode="reg_only";
defparam ua_state_4__Z.lut_mask="aaaa";
defparam ua_state_4__Z.synch_mode="on";
defparam ua_state_4__Z.sum_lutc_input="datac";
// @17:191
  cyclone_lcell ua_state_5__Z (
        .regout(ua_state[5]),
        .clk(clk_c),
        .dataa(ua_state[4]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_176_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_5__Z.operation_mode="normal";
defparam ua_state_5__Z.output_mode="reg_only";
defparam ua_state_5__Z.lut_mask="aaaa";
defparam ua_state_5__Z.synch_mode="on";
defparam ua_state_5__Z.sum_lutc_input="datac";
// @17:192
  cyclone_lcell ua_state_6__Z (
        .regout(ua_state[6]),
        .clk(clk_c),
        .dataa(ua_state[5]),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_176_x),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_6__Z.operation_mode="normal";
defparam ua_state_6__Z.output_mode="reg_only";
defparam ua_state_6__Z.lut_mask="aaaa";
defparam ua_state_6__Z.synch_mode="on";
defparam ua_state_6__Z.sum_lutc_input="datac";
// @17:203
  cyclone_lcell tx_sr_0__Z (
        .regout(tx_sr[0]),
        .clk(clk_c),
        .dataa(queue_data[0]),
        .datab(tx_sr[1]),
        .datac(read_request_ff),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_311),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tx_sr_0__Z.operation_mode="normal";
defparam tx_sr_0__Z.output_mode="reg_only";
defparam tx_sr_0__Z.lut_mask="acac";
defparam tx_sr_0__Z.synch_mode="on";
defparam tx_sr_0__Z.sum_lutc_input="datac";
// @17:203
  cyclone_lcell tx_sr_1__Z (
        .regout(tx_sr[1]),
        .clk(clk_c),
        .dataa(queue_data[1]),
        .datab(tx_sr[2]),
        .datac(read_request_ff),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_311),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tx_sr_1__Z.operation_mode="normal";
defparam tx_sr_1__Z.output_mode="reg_only";
defparam tx_sr_1__Z.lut_mask="acac";
defparam tx_sr_1__Z.synch_mode="on";
defparam tx_sr_1__Z.sum_lutc_input="datac";
// @17:203
  cyclone_lcell tx_sr_2__Z (
        .regout(tx_sr[2]),
        .clk(clk_c),
        .dataa(queue_data[2]),
        .datab(tx_sr[3]),
        .datac(read_request_ff),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_311),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tx_sr_2__Z.operation_mode="normal";
defparam tx_sr_2__Z.output_mode="reg_only";
defparam tx_sr_2__Z.lut_mask="acac";
defparam tx_sr_2__Z.synch_mode="on";
defparam tx_sr_2__Z.sum_lutc_input="datac";
// @17:203
  cyclone_lcell tx_sr_3__Z (
        .regout(tx_sr[3]),
        .clk(clk_c),
        .dataa(queue_data[3]),
        .datab(tx_sr[4]),
        .datac(read_request_ff),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_311),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tx_sr_3__Z.operation_mode="normal";
defparam tx_sr_3__Z.output_mode="reg_only";
defparam tx_sr_3__Z.lut_mask="acac";
defparam tx_sr_3__Z.synch_mode="on";
defparam tx_sr_3__Z.sum_lutc_input="datac";
// @17:203
  cyclone_lcell tx_sr_4__Z (
        .regout(tx_sr[4]),
        .clk(clk_c),
        .dataa(queue_data[4]),
        .datab(tx_sr[5]),
        .datac(read_request_ff),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_311),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tx_sr_4__Z.operation_mode="normal";
defparam tx_sr_4__Z.output_mode="reg_only";
defparam tx_sr_4__Z.lut_mask="acac";
defparam tx_sr_4__Z.synch_mode="on";
defparam tx_sr_4__Z.sum_lutc_input="datac";
// @17:203
  cyclone_lcell tx_sr_5__Z (
        .regout(tx_sr[5]),
        .clk(clk_c),
        .dataa(queue_data[5]),
        .datab(tx_sr[6]),
        .datac(read_request_ff),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_311),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tx_sr_5__Z.operation_mode="normal";
defparam tx_sr_5__Z.output_mode="reg_only";
defparam tx_sr_5__Z.lut_mask="acac";
defparam tx_sr_5__Z.synch_mode="on";
defparam tx_sr_5__Z.sum_lutc_input="datac";
// @17:203
  cyclone_lcell tx_sr_6__Z (
        .regout(tx_sr[6]),
        .clk(clk_c),
        .dataa(queue_data[6]),
        .datab(tx_sr[7]),
        .datac(read_request_ff),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_311),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tx_sr_6__Z.operation_mode="normal";
defparam tx_sr_6__Z.output_mode="reg_only";
defparam tx_sr_6__Z.lut_mask="acac";
defparam tx_sr_6__Z.synch_mode="on";
defparam tx_sr_6__Z.sum_lutc_input="datac";
// @17:203
  cyclone_lcell tx_sr_7__Z (
        .regout(tx_sr[7]),
        .clk(clk_c),
        .dataa(queue_data[7]),
        .datab(read_request_ff),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_311),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tx_sr_7__Z.operation_mode="normal";
defparam tx_sr_7__Z.output_mode="reg_only";
defparam tx_sr_7__Z.lut_mask="8888";
defparam tx_sr_7__Z.synch_mode="on";
defparam tx_sr_7__Z.sum_lutc_input="datac";
// @17:68
  cyclone_lcell bit_ctr23_i_0_x (
        .combout(bit_ctr23_i_i),
        .dataa(rst_c),
        .datab(ua_state[2]),
        .datac(ua_state[3]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr23_i_0_x.operation_mode="normal";
defparam bit_ctr23_i_0_x.output_mode="comb_only";
defparam bit_ctr23_i_0_x.lut_mask="a8a8";
defparam bit_ctr23_i_0_x.synch_mode="off";
defparam bit_ctr23_i_0_x.sum_lutc_input="datac";
// @17:68
  cyclone_lcell clk_ctr26_i_0 (
        .combout(clk_ctr26_i_i),
        .dataa(clk_ctr26_i_0_a4_0_5),
        .datab(clk_ctr26_i_0_a4_0_6),
        .datac(clk_ctr26_i_0_0),
        .datad(clk_ctr26_i_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr26_i_0.operation_mode="normal";
defparam clk_ctr26_i_0.output_mode="comb_only";
defparam clk_ctr26_i_0.lut_mask="070f";
defparam clk_ctr26_i_0.synch_mode="off";
defparam clk_ctr26_i_0.sum_lutc_input="datac";
// @17:213
  cyclone_lcell txd_1_a_cZ (
        .combout(txd_1_a),
        .dataa(ua_state[1]),
        .datab(ua_state_i[0]),
        .datac(bit_ctr23_i_0_o2),
        .datad(clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam txd_1_a_cZ.operation_mode="normal";
defparam txd_1_a_cZ.output_mode="comb_only";
defparam txd_1_a_cZ.lut_mask="04cc";
defparam txd_1_a_cZ.synch_mode="off";
defparam txd_1_a_cZ.sum_lutc_input="datac";
// @17:161
  cyclone_lcell clk_ctr_equ15_0_a2_cZ (
        .combout(clk_ctr_equ15_0_a2),
        .dataa(clk_ctr_equ15_0_a2_7),
        .datab(clk_ctr26_i_0_a2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_equ15_0_a2_cZ.operation_mode="normal";
defparam clk_ctr_equ15_0_a2_cZ.output_mode="comb_only";
defparam clk_ctr_equ15_0_a2_cZ.lut_mask="8888";
defparam clk_ctr_equ15_0_a2_cZ.synch_mode="off";
defparam clk_ctr_equ15_0_a2_cZ.sum_lutc_input="datac";
// @17:182
  cyclone_lcell ua_state_ns_0_a_2_ (
        .combout(ua_state_ns_0_a[2]),
        .dataa(bit_ctr[1]),
        .datab(bit_ctr[2]),
        .datac(bit_ctr[0]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_ns_0_a_2_.operation_mode="normal";
defparam ua_state_ns_0_a_2_.output_mode="comb_only";
defparam ua_state_ns_0_a_2_.lut_mask="7f7f";
defparam ua_state_ns_0_a_2_.synch_mode="off";
defparam ua_state_ns_0_a_2_.sum_lutc_input="datac";
// @17:68
  cyclone_lcell clk_ctr26_i_0_0_cZ (
        .combout(clk_ctr26_i_0_0),
        .dataa(rst_c),
        .datab(ua_state[6]),
        .datac(ua_state[1]),
        .datad(clk_ctr26_i_0_0_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr26_i_0_0_cZ.operation_mode="normal";
defparam clk_ctr26_i_0_0_cZ.output_mode="comb_only";
defparam clk_ctr26_i_0_0_cZ.lut_mask="5755";
defparam clk_ctr26_i_0_0_cZ.synch_mode="off";
defparam clk_ctr26_i_0_0_cZ.sum_lutc_input="datac";
// @17:68
  cyclone_lcell clk_ctr26_i_0_0_a_cZ (
        .combout(clk_ctr26_i_0_0_a),
        .dataa(ua_state[2]),
        .datab(ua_state[3]),
        .datac(ua_state[4]),
        .datad(ua_state[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr26_i_0_0_a_cZ.operation_mode="normal";
defparam clk_ctr26_i_0_0_a_cZ.output_mode="comb_only";
defparam clk_ctr26_i_0_0_a_cZ.lut_mask="0001";
defparam clk_ctr26_i_0_0_a_cZ.synch_mode="off";
defparam clk_ctr26_i_0_0_a_cZ.sum_lutc_input="datac";
// @17:161
  cyclone_lcell clk_ctr_equ15_0_a2_7_cZ (
        .combout(clk_ctr_equ15_0_a2_7),
        .dataa(clk_ctr[5]),
        .datab(clk_ctr[4]),
        .datac(clk_ctr_equ15_0_a2_4),
        .datad(clk_ctr_equ15_0_a2_7_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_equ15_0_a2_7_cZ.operation_mode="normal";
defparam clk_ctr_equ15_0_a2_7_cZ.output_mode="comb_only";
defparam clk_ctr_equ15_0_a2_7_cZ.lut_mask="4000";
defparam clk_ctr_equ15_0_a2_7_cZ.synch_mode="off";
defparam clk_ctr_equ15_0_a2_7_cZ.sum_lutc_input="datac";
// @17:161
  cyclone_lcell clk_ctr_equ15_0_a2_7_a_cZ (
        .combout(clk_ctr_equ15_0_a2_7_a),
        .dataa(clk_ctr[3]),
        .datab(clk_ctr[11]),
        .datac(clk_ctr[1]),
        .datad(clk_ctr[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_equ15_0_a2_7_a_cZ.operation_mode="normal";
defparam clk_ctr_equ15_0_a2_7_a_cZ.output_mode="comb_only";
defparam clk_ctr_equ15_0_a2_7_a_cZ.lut_mask="0001";
defparam clk_ctr_equ15_0_a2_7_a_cZ.synch_mode="off";
defparam clk_ctr_equ15_0_a2_7_a_cZ.sum_lutc_input="datac";
// @17:68
  cyclone_lcell clk_ctr26_i_0_a4_0_6_cZ (
        .combout(clk_ctr26_i_0_a4_0_6),
        .dataa(clk_ctr[10]),
        .datab(clk_ctr[2]),
        .datac(clk_ctr[5]),
        .datad(clk_ctr26_i_0_a4_0_6_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr26_i_0_a4_0_6_cZ.operation_mode="normal";
defparam clk_ctr26_i_0_a4_0_6_cZ.output_mode="comb_only";
defparam clk_ctr26_i_0_a4_0_6_cZ.lut_mask="0010";
defparam clk_ctr26_i_0_a4_0_6_cZ.synch_mode="off";
defparam clk_ctr26_i_0_a4_0_6_cZ.sum_lutc_input="datac";
// @17:68
  cyclone_lcell clk_ctr26_i_0_a4_0_6_a_cZ (
        .combout(clk_ctr26_i_0_a4_0_6_a),
        .dataa(clk_ctr[1]),
        .datab(clk_ctr[9]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr26_i_0_a4_0_6_a_cZ.operation_mode="normal";
defparam clk_ctr26_i_0_a4_0_6_a_cZ.output_mode="comb_only";
defparam clk_ctr26_i_0_a4_0_6_a_cZ.lut_mask="7777";
defparam clk_ctr26_i_0_a4_0_6_a_cZ.synch_mode="off";
defparam clk_ctr26_i_0_a4_0_6_a_cZ.sum_lutc_input="datac";
// @17:68
  cyclone_lcell clk_ctr26_i_0_a2_cZ (
        .combout(clk_ctr26_i_0_a2),
        .dataa(clk_ctr[15]),
        .datab(clk_ctr[6]),
        .datac(clk_ctr[0]),
        .datad(clk_ctr26_i_0_a2_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr26_i_0_a2_cZ.operation_mode="normal";
defparam clk_ctr26_i_0_a2_cZ.output_mode="comb_only";
defparam clk_ctr26_i_0_a2_cZ.lut_mask="1000";
defparam clk_ctr26_i_0_a2_cZ.synch_mode="off";
defparam clk_ctr26_i_0_a2_cZ.sum_lutc_input="datac";
// @17:68
  cyclone_lcell clk_ctr26_i_0_a2_a_cZ (
        .combout(clk_ctr26_i_0_a2_a),
        .dataa(clk_ctr[7]),
        .datab(clk_ctr[12]),
        .datac(clk_ctr[13]),
        .datad(clk_ctr[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr26_i_0_a2_a_cZ.operation_mode="normal";
defparam clk_ctr26_i_0_a2_a_cZ.output_mode="comb_only";
defparam clk_ctr26_i_0_a2_a_cZ.lut_mask="0001";
defparam clk_ctr26_i_0_a2_a_cZ.synch_mode="off";
defparam clk_ctr26_i_0_a2_a_cZ.sum_lutc_input="datac";
// @17:161
  cyclone_lcell clk_ctr_equ15_0_a2_4_cZ (
        .combout(clk_ctr_equ15_0_a2_4),
        .dataa(clk_ctr[2]),
        .datab(clk_ctr[10]),
        .datac(clk_ctr[8]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr_equ15_0_a2_4_cZ.operation_mode="normal";
defparam clk_ctr_equ15_0_a2_4_cZ.output_mode="comb_only";
defparam clk_ctr_equ15_0_a2_4_cZ.lut_mask="8080";
defparam clk_ctr_equ15_0_a2_4_cZ.synch_mode="off";
defparam clk_ctr_equ15_0_a2_4_cZ.sum_lutc_input="datac";
// @17:68
  cyclone_lcell clk_ctr26_i_0_a4_0_5_cZ (
        .combout(clk_ctr26_i_0_a4_0_5),
        .dataa(clk_ctr[3]),
        .datab(clk_ctr[4]),
        .datac(clk_ctr[11]),
        .datad(clk_ctr[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam clk_ctr26_i_0_a4_0_5_cZ.operation_mode="normal";
defparam clk_ctr26_i_0_a4_0_5_cZ.output_mode="comb_only";
defparam clk_ctr26_i_0_a4_0_5_cZ.lut_mask="0020";
defparam clk_ctr26_i_0_a4_0_5_cZ.synch_mode="off";
defparam clk_ctr26_i_0_a4_0_5_cZ.sum_lutc_input="datac";
// @17:214
  cyclone_lcell txd_8_cZ (
        .combout(txd_8),
        .dataa(ua_state[1]),
        .datab(ua_state[2]),
        .datac(tx_sr[0]),
        .datad(clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam txd_8_cZ.operation_mode="normal";
defparam txd_8_cZ.output_mode="comb_only";
defparam txd_8_cZ.lut_mask="d1f3";
defparam txd_8_cZ.synch_mode="off";
defparam txd_8_cZ.sum_lutc_input="datac";
// @17:68
  cyclone_lcell bit_ctr23_i_0_o2_cZ (
        .combout(bit_ctr23_i_0_o2),
        .dataa(ua_state[3]),
        .datab(ua_state[2]),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam bit_ctr23_i_0_o2_cZ.operation_mode="normal";
defparam bit_ctr23_i_0_o2_cZ.output_mode="comb_only";
defparam bit_ctr23_i_0_o2_cZ.lut_mask="eeee";
defparam bit_ctr23_i_0_o2_cZ.synch_mode="off";
defparam bit_ctr23_i_0_o2_cZ.sum_lutc_input="datac";
// @17:182
  cyclone_lcell ua_state_ns_0_a2_0_1_ (
        .combout(ua_state_ns_0_a2_0[1]),
        .dataa(VCC),
        .datab(VCC),
        .datac(empty),
        .datad(ua_state_i[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam ua_state_ns_0_a2_0_1_.operation_mode="normal";
defparam ua_state_ns_0_a2_0_1_.output_mode="comb_only";
defparam ua_state_ns_0_a2_0_1_.lut_mask="000f";
defparam ua_state_ns_0_a2_0_1_.synch_mode="off";
defparam ua_state_ns_0_a2_0_1_.sum_lutc_input="datac";
//@17:182
// @17:127
  fifo512_cyclone alt_fifo (
        .queue_data_7(queue_data[7]),
        .queue_data_6(queue_data[6]),
        .queue_data_5(queue_data[5]),
        .queue_data_4(queue_data[4]),
        .queue_data_3(queue_data[3]),
        .queue_data_2(queue_data[2]),
        .queue_data_1(queue_data[1]),
        .queue_data_0(queue_data[0]),
        .ua_state_ns_0_a2_0_0(ua_state_ns_0_a2_0[1]),
        .r32_o_7(r32_o_7),
        .r32_o_6(r32_o_6),
        .r32_o_5(r32_o_5),
        .r32_o_4(r32_o_4),
        .r32_o_3(r32_o_3),
        .r32_o_2(r32_o_2),
        .r32_o_1(r32_o_1),
        .r32_o_0(r32_o_0),
        .empty(empty),
        .w_txd_busy(w_txd_busy),
        .wr_uartdata_0_a2(wr_uartdata_0_a2),
        .clk_c(clk_c)
);
  assign  rst_c_i = ~ rst_c;
  assign  clk_ctr26_i_i_i = ~ clk_ctr26_i_i;
  assign  bit_ctr23_i_i_i = ~ bit_ctr23_i_i;
endmodule /* uart_write */

// VQM4.1+ 
module uart0 (
  r32_o_7,
  r32_o_6,
  r32_o_5,
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_1,
  r32_o_0,
  cmd_0,
  clk_ctr_0,
  clk_ctr_2,
  clk_ctr_3,
  ua_state_0,
  buffer_reg_0,
  buffer_reg_1,
  buffer_reg_2,
  buffer_reg_3,
  buffer_reg_4,
  buffer_reg_5,
  buffer_reg_6,
  buffer_reg_7,
  clk_ctr_equ15_0_a2_0,
  read_request_ff,
  txd,
  G_176_x,
  G_311,
  bit_ctr23_i_0_o2,
  w_txd_busy,
  wr_uartdata_0_a2,
  q,
  clk_c,
  clk_ctr_equ15_0_a2,
  rst_c,
  ser_rxd_c,
  G_295_x,
  G_303,
  un1_clk_ctr_equ0_0_a2,
  un1_clk_ctr_equ0_0_a2_0
);
input r32_o_7 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
input cmd_0 ;
output clk_ctr_0 ;
output clk_ctr_2 ;
output clk_ctr_3 ;
output ua_state_0 ;
output buffer_reg_0 ;
output buffer_reg_1 ;
output buffer_reg_2 ;
output buffer_reg_3 ;
output buffer_reg_4 ;
output buffer_reg_5 ;
output buffer_reg_6 ;
output buffer_reg_7 ;
output clk_ctr_equ15_0_a2_0 ;
output read_request_ff ;
output txd ;
input G_176_x ;
input G_311 ;
output bit_ctr23_i_0_o2 ;
output w_txd_busy ;
input wr_uartdata_0_a2 ;
output q ;
input clk_c ;
output clk_ctr_equ15_0_a2 ;
input rst_c ;
input ser_rxd_c ;
input G_295_x ;
input G_303 ;
output un1_clk_ctr_equ0_0_a2 ;
output un1_clk_ctr_equ0_0_a2_0 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire cmd_0 ;
wire clk_ctr_0 ;
wire clk_ctr_2 ;
wire clk_ctr_3 ;
wire ua_state_0 ;
wire buffer_reg_0 ;
wire buffer_reg_1 ;
wire buffer_reg_2 ;
wire buffer_reg_3 ;
wire buffer_reg_4 ;
wire buffer_reg_5 ;
wire buffer_reg_6 ;
wire buffer_reg_7 ;
wire clk_ctr_equ15_0_a2_0 ;
wire read_request_ff ;
wire txd ;
wire G_176_x ;
wire G_311 ;
wire bit_ctr23_i_0_o2 ;
wire w_txd_busy ;
wire wr_uartdata_0_a2 ;
wire q ;
wire clk_c ;
wire clk_ctr_equ15_0_a2 ;
wire rst_c ;
wire ser_rxd_c ;
wire G_295_x ;
wire G_303 ;
wire un1_clk_ctr_equ0_0_a2 ;
wire un1_clk_ctr_equ0_0_a2_0 ;
wire int_req ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @17:52
  uart_read uart_rd_tak (
        .buffer_reg_7(buffer_reg_7),
        .buffer_reg_6(buffer_reg_6),
        .buffer_reg_5(buffer_reg_5),
        .buffer_reg_4(buffer_reg_4),
        .buffer_reg_3(buffer_reg_3),
        .buffer_reg_2(buffer_reg_2),
        .buffer_reg_1(buffer_reg_1),
        .buffer_reg_0(buffer_reg_0),
        .ua_state_2(ua_state_0),
        .clk_ctr_3(clk_ctr_3),
        .clk_ctr_2(clk_ctr_2),
        .clk_ctr_0(clk_ctr_0),
        .un1_clk_ctr_equ0_0_a2_0(un1_clk_ctr_equ0_0_a2_0),
        .un1_clk_ctr_equ0_0_a2(un1_clk_ctr_equ0_0_a2),
        .G_303(G_303),
        .G_295_x(G_295_x),
        .ser_rxd_c(ser_rxd_c),
        .int_req(int_req),
        .rst_c(rst_c),
        .clk_ctr_equ15_0_a2(clk_ctr_equ15_0_a2),
        .clk_c(clk_c)
);
// @17:60
  rxd_d rxd_rdy_hold_lw (
        .cmd_0(cmd_0),
        .int_req(int_req),
        .clk_c(clk_c),
        .q(q)
);
// @17:68
  uart_write uart_txd (
        .r32_o_0(r32_o_0),
        .r32_o_1(r32_o_1),
        .r32_o_2(r32_o_2),
        .r32_o_3(r32_o_3),
        .r32_o_4(r32_o_4),
        .r32_o_5(r32_o_5),
        .r32_o_6(r32_o_6),
        .r32_o_7(r32_o_7),
        .wr_uartdata_0_a2(wr_uartdata_0_a2),
        .w_txd_busy(w_txd_busy),
        .bit_ctr23_i_0_o2(bit_ctr23_i_0_o2),
        .G_311(G_311),
        .G_176_x(G_176_x),
        .txd(txd),
        .read_request_ff(read_request_ff),
        .rst_c(rst_c),
        .clk_ctr_equ15_0_a2(clk_ctr_equ15_0_a2_0),
        .clk_c(clk_c)
);
endmodule /* uart0 */

// VQM4.1+ 
module seg7led_cv (
  seg7data_3,
  seg7data_2,
  seg7data_1,
  seg7data_0,
  seg7data_7,
  seg7data_6,
  seg7data_5,
  seg7data_4,
  N_13_i,
  m11,
  m15,
  N_31_i,
  m18,
  N_27_i,
  N_29_i,
  N_44_i,
  m11_0,
  m15_0,
  N_62_i,
  m18_0,
  N_58_i,
  N_60_i
);
input seg7data_3 ;
input seg7data_2 ;
input seg7data_1 ;
input seg7data_0 ;
input seg7data_7 ;
input seg7data_6 ;
input seg7data_5 ;
input seg7data_4 ;
output N_13_i ;
output m11 ;
output m15 ;
output N_31_i ;
output m18 ;
output N_27_i ;
output N_29_i ;
output N_44_i ;
output m11_0 ;
output m15_0 ;
output N_62_i ;
output m18_0 ;
output N_58_i ;
output N_60_i ;
wire seg7data_3 ;
wire seg7data_2 ;
wire seg7data_1 ;
wire seg7data_0 ;
wire seg7data_7 ;
wire seg7data_6 ;
wire seg7data_5 ;
wire seg7data_4 ;
wire N_13_i ;
wire m11 ;
wire m15 ;
wire N_31_i ;
wire m18 ;
wire N_27_i ;
wire N_29_i ;
wire N_44_i ;
wire m11_0 ;
wire m15_0 ;
wire N_62_i ;
wire m18_0 ;
wire N_58_i ;
wire N_60_i ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @11:79
  cyclone_lcell m23_0 (
        .combout(N_60_i),
        .dataa(seg7data_4),
        .datab(seg7data_5),
        .datac(seg7data_6),
        .datad(seg7data_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m23_0.operation_mode="normal";
defparam m23_0.output_mode="comb_only";
defparam m23_0.lut_mask="cf71";
defparam m23_0.synch_mode="off";
defparam m23_0.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m21_0 (
        .combout(N_58_i),
        .dataa(seg7data_6),
        .datab(seg7data_5),
        .datac(seg7data_7),
        .datad(seg7data_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m21_0.operation_mode="normal";
defparam m21_0.output_mode="comb_only";
defparam m21_0.lut_mask="e0fd";
defparam m21_0.synch_mode="off";
defparam m21_0.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m18_0_cZ (
        .combout(m18_0),
        .dataa(seg7data_6),
        .datab(seg7data_5),
        .datac(seg7data_7),
        .datad(seg7data_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m18_0_cZ.operation_mode="normal";
defparam m18_0_cZ.output_mode="comb_only";
defparam m18_0_cZ.lut_mask="66bd";
defparam m18_0_cZ.synch_mode="off";
defparam m18_0_cZ.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m25_0 (
        .combout(N_62_i),
        .dataa(seg7data_6),
        .datab(seg7data_5),
        .datac(seg7data_4),
        .datad(seg7data_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m25_0.operation_mode="normal";
defparam m25_0.output_mode="comb_only";
defparam m25_0.lut_mask="ff6e";
defparam m25_0.synch_mode="off";
defparam m25_0.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m15_0_cZ (
        .combout(m15_0),
        .dataa(seg7data_5),
        .datab(seg7data_6),
        .datac(seg7data_4),
        .datad(seg7data_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m15_0_cZ.operation_mode="normal";
defparam m15_0_cZ.output_mode="comb_only";
defparam m15_0_cZ.lut_mask="73fd";
defparam m15_0_cZ.synch_mode="off";
defparam m15_0_cZ.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m11_0_cZ (
        .combout(m11_0),
        .dataa(seg7data_6),
        .datab(seg7data_5),
        .datac(seg7data_4),
        .datad(seg7data_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m11_0_cZ.operation_mode="normal";
defparam m11_0_cZ.output_mode="comb_only";
defparam m11_0_cZ.lut_mask="35d7";
defparam m11_0_cZ.synch_mode="off";
defparam m11_0_cZ.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m7_0 (
        .combout(N_44_i),
        .dataa(seg7data_5),
        .datab(seg7data_6),
        .datac(seg7data_4),
        .datad(seg7data_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m7_0.operation_mode="normal";
defparam m7_0.output_mode="comb_only";
defparam m7_0.lut_mask="9be3";
defparam m7_0.synch_mode="off";
defparam m7_0.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m23 (
        .combout(N_29_i),
        .dataa(seg7data_0),
        .datab(seg7data_1),
        .datac(seg7data_2),
        .datad(seg7data_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m23.operation_mode="normal";
defparam m23.output_mode="comb_only";
defparam m23.lut_mask="cf71";
defparam m23.synch_mode="off";
defparam m23.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m21 (
        .combout(N_27_i),
        .dataa(seg7data_2),
        .datab(seg7data_1),
        .datac(seg7data_3),
        .datad(seg7data_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m21.operation_mode="normal";
defparam m21.output_mode="comb_only";
defparam m21.lut_mask="e0fd";
defparam m21.synch_mode="off";
defparam m21.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m18_cZ (
        .combout(m18),
        .dataa(seg7data_2),
        .datab(seg7data_1),
        .datac(seg7data_3),
        .datad(seg7data_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m18_cZ.operation_mode="normal";
defparam m18_cZ.output_mode="comb_only";
defparam m18_cZ.lut_mask="66bd";
defparam m18_cZ.synch_mode="off";
defparam m18_cZ.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m25 (
        .combout(N_31_i),
        .dataa(seg7data_2),
        .datab(seg7data_1),
        .datac(seg7data_0),
        .datad(seg7data_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m25.operation_mode="normal";
defparam m25.output_mode="comb_only";
defparam m25.lut_mask="ff6e";
defparam m25.synch_mode="off";
defparam m25.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m15_cZ (
        .combout(m15),
        .dataa(seg7data_1),
        .datab(seg7data_2),
        .datac(seg7data_0),
        .datad(seg7data_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m15_cZ.operation_mode="normal";
defparam m15_cZ.output_mode="comb_only";
defparam m15_cZ.lut_mask="73fd";
defparam m15_cZ.synch_mode="off";
defparam m15_cZ.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m11_cZ (
        .combout(m11),
        .dataa(seg7data_2),
        .datab(seg7data_1),
        .datac(seg7data_0),
        .datad(seg7data_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m11_cZ.operation_mode="normal";
defparam m11_cZ.output_mode="comb_only";
defparam m11_cZ.lut_mask="35d7";
defparam m11_cZ.synch_mode="off";
defparam m11_cZ.sum_lutc_input="datac";
// @11:79
  cyclone_lcell m7 (
        .combout(N_13_i),
        .dataa(seg7data_1),
        .datab(seg7data_2),
        .datac(seg7data_0),
        .datad(seg7data_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam m7.operation_mode="normal";
defparam m7.output_mode="comb_only";
defparam m7.lut_mask="9be3";
defparam m7.synch_mode="off";
defparam m7.sum_lutc_input="datac";
endmodule /* seg7led_cv */

// VQM4.1+ 
module tmr_d (
  cntr_30,
  cntr_29,
  cntr_23,
  cntr_15,
  cntr_31,
  cntr_27,
  cntr_25,
  cntr_21,
  cntr_5,
  cntr_4,
  cntr_3,
  cntr_2,
  cntr_1,
  cntr_0,
  cntr_7,
  cntr_6,
  cntr_24,
  cntr_22,
  cntr_20,
  cntr_18,
  cntr_16,
  cntr_14,
  cntr_12,
  cntr_11,
  cntr_19,
  cntr_17,
  cntr_13,
  cntr_10,
  cntr_9,
  cntr_8,
  cntr_28,
  cntr_26,
  cmd_0,
  q_0_0_a2_0_31_29,
  q_0_0_a2_0_31_28,
  clk_c,
  q
);
input cntr_30 ;
input cntr_29 ;
input cntr_23 ;
input cntr_15 ;
input cntr_31 ;
input cntr_27 ;
input cntr_25 ;
input cntr_21 ;
input cntr_5 ;
input cntr_4 ;
input cntr_3 ;
input cntr_2 ;
input cntr_1 ;
input cntr_0 ;
input cntr_7 ;
input cntr_6 ;
input cntr_24 ;
input cntr_22 ;
input cntr_20 ;
input cntr_18 ;
input cntr_16 ;
input cntr_14 ;
input cntr_12 ;
input cntr_11 ;
input cntr_19 ;
input cntr_17 ;
input cntr_13 ;
input cntr_10 ;
input cntr_9 ;
input cntr_8 ;
input cntr_28 ;
input cntr_26 ;
input cmd_0 ;
output q_0_0_a2_0_31_29 ;
output q_0_0_a2_0_31_28 ;
input clk_c ;
output q ;
wire cntr_30 ;
wire cntr_29 ;
wire cntr_23 ;
wire cntr_15 ;
wire cntr_31 ;
wire cntr_27 ;
wire cntr_25 ;
wire cntr_21 ;
wire cntr_5 ;
wire cntr_4 ;
wire cntr_3 ;
wire cntr_2 ;
wire cntr_1 ;
wire cntr_0 ;
wire cntr_7 ;
wire cntr_6 ;
wire cntr_24 ;
wire cntr_22 ;
wire cntr_20 ;
wire cntr_18 ;
wire cntr_16 ;
wire cntr_14 ;
wire cntr_12 ;
wire cntr_11 ;
wire cntr_19 ;
wire cntr_17 ;
wire cntr_13 ;
wire cntr_10 ;
wire cntr_9 ;
wire cntr_8 ;
wire cntr_28 ;
wire cntr_26 ;
wire cmd_0 ;
wire q_0_0_a2_0_31_29 ;
wire q_0_0_a2_0_31_28 ;
wire clk_c ;
wire q ;
wire q_0_0_a2_0_31_20 ;
wire q_0_0_a2_0_31_21 ;
wire q_0_0_a2_0_31_22 ;
wire q_0_0_a2_0_31_23 ;
wire q_0_0_a2_0_31_16 ;
wire q_0_0_a2_0_31_17 ;
wire q_0_0_a2_0_31_18 ;
wire q_0_0_a2_0_31_19 ;
wire GND ;
wire VCC ;
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @11:57
  cyclone_lcell q_Z (
        .regout(q),
        .clk(clk_c),
        .dataa(cmd_0),
        .datab(q),
        .datac(q_0_0_a2_0_31_28),
        .datad(q_0_0_a2_0_31_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_Z.operation_mode="normal";
defparam q_Z.output_mode="reg_only";
defparam q_Z.lut_mask="5444";
defparam q_Z.synch_mode="off";
defparam q_Z.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_29_cZ (
        .combout(q_0_0_a2_0_31_29),
        .dataa(q_0_0_a2_0_31_20),
        .datab(q_0_0_a2_0_31_21),
        .datac(q_0_0_a2_0_31_22),
        .datad(q_0_0_a2_0_31_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_29_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_29_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_29_cZ.lut_mask="8000";
defparam q_0_0_a2_0_31_29_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_29_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_28_cZ (
        .combout(q_0_0_a2_0_31_28),
        .dataa(q_0_0_a2_0_31_16),
        .datab(q_0_0_a2_0_31_17),
        .datac(q_0_0_a2_0_31_18),
        .datad(q_0_0_a2_0_31_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_28_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_28_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_28_cZ.lut_mask="8000";
defparam q_0_0_a2_0_31_28_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_28_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_23_cZ (
        .combout(q_0_0_a2_0_31_23),
        .dataa(cntr_26),
        .datab(cntr_28),
        .datac(cntr_8),
        .datad(cntr_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_23_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_23_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_23_cZ.lut_mask="0001";
defparam q_0_0_a2_0_31_23_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_23_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_22_cZ (
        .combout(q_0_0_a2_0_31_22),
        .dataa(cntr_10),
        .datab(cntr_13),
        .datac(cntr_17),
        .datad(cntr_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_22_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_22_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_22_cZ.lut_mask="0001";
defparam q_0_0_a2_0_31_22_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_22_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_21_cZ (
        .combout(q_0_0_a2_0_31_21),
        .dataa(cntr_11),
        .datab(cntr_12),
        .datac(cntr_14),
        .datad(cntr_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_21_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_21_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_21_cZ.lut_mask="0001";
defparam q_0_0_a2_0_31_21_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_21_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_20_cZ (
        .combout(q_0_0_a2_0_31_20),
        .dataa(cntr_18),
        .datab(cntr_20),
        .datac(cntr_22),
        .datad(cntr_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_20_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_20_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_20_cZ.lut_mask="0001";
defparam q_0_0_a2_0_31_20_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_20_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_19_cZ (
        .combout(q_0_0_a2_0_31_19),
        .dataa(cntr_6),
        .datab(cntr_7),
        .datac(cntr_0),
        .datad(cntr_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_19_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_19_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_19_cZ.lut_mask="0001";
defparam q_0_0_a2_0_31_19_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_19_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_18_cZ (
        .combout(q_0_0_a2_0_31_18),
        .dataa(cntr_2),
        .datab(cntr_3),
        .datac(cntr_4),
        .datad(cntr_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_18_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_18_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_18_cZ.lut_mask="0001";
defparam q_0_0_a2_0_31_18_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_18_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_17_cZ (
        .combout(q_0_0_a2_0_31_17),
        .dataa(cntr_21),
        .datab(cntr_25),
        .datac(cntr_27),
        .datad(cntr_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_17_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_17_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_17_cZ.lut_mask="0001";
defparam q_0_0_a2_0_31_17_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_17_cZ.sum_lutc_input="datac";
// @11:57
  cyclone_lcell q_0_0_a2_0_31_16_cZ (
        .combout(q_0_0_a2_0_31_16),
        .dataa(cntr_15),
        .datab(cntr_23),
        .datac(cntr_29),
        .datad(cntr_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam q_0_0_a2_0_31_16_cZ.operation_mode="normal";
defparam q_0_0_a2_0_31_16_cZ.output_mode="comb_only";
defparam q_0_0_a2_0_31_16_cZ.lut_mask="0001";
defparam q_0_0_a2_0_31_16_cZ.synch_mode="off";
defparam q_0_0_a2_0_31_16_cZ.sum_lutc_input="datac";
endmodule /* tmr_d */

// VQM4.1+ 
module tmr0 (
  cmd_0,
  cmd_1,
  cntr_31,
  cntr_30,
  cntr_29,
  cntr_28,
  cntr_27,
  cntr_26,
  cntr_25,
  cntr_24,
  cntr_23,
  cntr_22,
  cntr_21,
  cntr_20,
  cntr_19,
  cntr_18,
  cntr_17,
  cntr_16,
  cntr_15,
  cntr_14,
  cntr_13,
  cntr_12,
  cntr_11,
  cntr_10,
  cntr_9,
  cntr_8,
  cntr_7,
  cntr_6,
  cntr_5,
  cntr_4,
  cntr_3,
  cntr_2,
  cntr_1,
  cntr_0,
  r32_o_31,
  r32_o_30,
  r32_o_29,
  r32_o_28,
  r32_o_27,
  r32_o_26,
  r32_o_25,
  r32_o_24,
  r32_o_23,
  r32_o_22,
  r32_o_21,
  r32_o_20,
  r32_o_19,
  r32_o_18,
  r32_o_17,
  r32_o_16,
  r32_o_15,
  r32_o_14,
  r32_o_13,
  r32_o_12,
  r32_o_11,
  r32_o_10,
  r32_o_9,
  r32_o_8,
  r32_o_7,
  r32_o_6,
  r32_o_5,
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_1,
  r32_o_0,
  q,
  wr_tmr_data_0_a2,
  clk_c
);
input cmd_0 ;
input cmd_1 ;
output cntr_31 ;
output cntr_30 ;
output cntr_29 ;
output cntr_28 ;
output cntr_27 ;
output cntr_26 ;
output cntr_25 ;
output cntr_24 ;
output cntr_23 ;
output cntr_22 ;
output cntr_21 ;
output cntr_20 ;
output cntr_19 ;
output cntr_18 ;
output cntr_17 ;
output cntr_16 ;
output cntr_15 ;
output cntr_14 ;
output cntr_13 ;
output cntr_12 ;
output cntr_11 ;
output cntr_10 ;
output cntr_9 ;
output cntr_8 ;
output cntr_7 ;
output cntr_6 ;
output cntr_5 ;
output cntr_4 ;
output cntr_3 ;
output cntr_2 ;
output cntr_1 ;
output cntr_0 ;
input r32_o_31 ;
input r32_o_30 ;
input r32_o_29 ;
input r32_o_28 ;
input r32_o_27 ;
input r32_o_26 ;
input r32_o_25 ;
input r32_o_24 ;
input r32_o_23 ;
input r32_o_22 ;
input r32_o_21 ;
input r32_o_20 ;
input r32_o_19 ;
input r32_o_18 ;
input r32_o_17 ;
input r32_o_16 ;
input r32_o_15 ;
input r32_o_14 ;
input r32_o_13 ;
input r32_o_12 ;
input r32_o_11 ;
input r32_o_10 ;
input r32_o_9 ;
input r32_o_8 ;
input r32_o_7 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
output q ;
input wr_tmr_data_0_a2 ;
input clk_c ;
wire cmd_0 ;
wire cmd_1 ;
wire cntr_31 ;
wire cntr_30 ;
wire cntr_29 ;
wire cntr_28 ;
wire cntr_27 ;
wire cntr_26 ;
wire cntr_25 ;
wire cntr_24 ;
wire cntr_23 ;
wire cntr_22 ;
wire cntr_21 ;
wire cntr_20 ;
wire cntr_19 ;
wire cntr_18 ;
wire cntr_17 ;
wire cntr_16 ;
wire cntr_15 ;
wire cntr_14 ;
wire cntr_13 ;
wire cntr_12 ;
wire cntr_11 ;
wire cntr_10 ;
wire cntr_9 ;
wire cntr_8 ;
wire cntr_7 ;
wire cntr_6 ;
wire cntr_5 ;
wire cntr_4 ;
wire cntr_3 ;
wire cntr_2 ;
wire cntr_1 ;
wire cntr_0 ;
wire r32_o_31 ;
wire r32_o_30 ;
wire r32_o_29 ;
wire r32_o_28 ;
wire r32_o_27 ;
wire r32_o_26 ;
wire r32_o_25 ;
wire r32_o_24 ;
wire r32_o_23 ;
wire r32_o_22 ;
wire r32_o_21 ;
wire r32_o_20 ;
wire r32_o_19 ;
wire r32_o_18 ;
wire r32_o_17 ;
wire r32_o_16 ;
wire r32_o_15 ;
wire r32_o_14 ;
wire r32_o_13 ;
wire r32_o_12 ;
wire r32_o_11 ;
wire r32_o_10 ;
wire r32_o_9 ;
wire r32_o_8 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire q ;
wire wr_tmr_data_0_a2 ;
wire clk_c ;
wire [31:0] cntr_5_0_i_m2;
wire [30:0] cntr_cout;
wire VCC ;
wire un1_ld_1_i_0 ;
wire N_1160_i ;
wire q_0_0_a2_0_31_28 ;
wire q_0_0_a2_0_31_29 ;
wire GND ;
wire un1_ld_1_i_0_i ;
//@1:1
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @11:31
  cyclone_lcell s_cntr_0__Z (
        .combout(cntr_5_0_i_m2[0]),
        .clk(clk_c),
        .dataa(r32_o_0),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_0),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_0__Z.operation_mode="normal";
defparam s_cntr_0__Z.output_mode="comb_only";
defparam s_cntr_0__Z.lut_mask="b8b8";
defparam s_cntr_0__Z.synch_mode="on";
defparam s_cntr_0__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_1__Z (
        .combout(cntr_5_0_i_m2[1]),
        .clk(clk_c),
        .dataa(r32_o_1),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_1__Z.operation_mode="normal";
defparam s_cntr_1__Z.output_mode="comb_only";
defparam s_cntr_1__Z.lut_mask="b8b8";
defparam s_cntr_1__Z.synch_mode="on";
defparam s_cntr_1__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_2__Z (
        .combout(cntr_5_0_i_m2[2]),
        .clk(clk_c),
        .dataa(r32_o_2),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_2),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_2__Z.operation_mode="normal";
defparam s_cntr_2__Z.output_mode="comb_only";
defparam s_cntr_2__Z.lut_mask="b8b8";
defparam s_cntr_2__Z.synch_mode="on";
defparam s_cntr_2__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_3__Z (
        .combout(cntr_5_0_i_m2[3]),
        .clk(clk_c),
        .dataa(r32_o_3),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_3),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_3__Z.operation_mode="normal";
defparam s_cntr_3__Z.output_mode="comb_only";
defparam s_cntr_3__Z.lut_mask="b8b8";
defparam s_cntr_3__Z.synch_mode="on";
defparam s_cntr_3__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_4__Z (
        .combout(cntr_5_0_i_m2[4]),
        .clk(clk_c),
        .dataa(r32_o_4),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_4),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_4__Z.operation_mode="normal";
defparam s_cntr_4__Z.output_mode="comb_only";
defparam s_cntr_4__Z.lut_mask="b8b8";
defparam s_cntr_4__Z.synch_mode="on";
defparam s_cntr_4__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_5__Z (
        .combout(cntr_5_0_i_m2[5]),
        .clk(clk_c),
        .dataa(r32_o_5),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_5),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_5__Z.operation_mode="normal";
defparam s_cntr_5__Z.output_mode="comb_only";
defparam s_cntr_5__Z.lut_mask="b8b8";
defparam s_cntr_5__Z.synch_mode="on";
defparam s_cntr_5__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_6__Z (
        .combout(cntr_5_0_i_m2[6]),
        .clk(clk_c),
        .dataa(r32_o_6),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_6),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_6__Z.operation_mode="normal";
defparam s_cntr_6__Z.output_mode="comb_only";
defparam s_cntr_6__Z.lut_mask="b8b8";
defparam s_cntr_6__Z.synch_mode="on";
defparam s_cntr_6__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_7__Z (
        .combout(cntr_5_0_i_m2[7]),
        .clk(clk_c),
        .dataa(r32_o_7),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_7),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_7__Z.operation_mode="normal";
defparam s_cntr_7__Z.output_mode="comb_only";
defparam s_cntr_7__Z.lut_mask="b8b8";
defparam s_cntr_7__Z.synch_mode="on";
defparam s_cntr_7__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_8__Z (
        .combout(cntr_5_0_i_m2[8]),
        .clk(clk_c),
        .dataa(r32_o_8),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_8),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_8__Z.operation_mode="normal";
defparam s_cntr_8__Z.output_mode="comb_only";
defparam s_cntr_8__Z.lut_mask="b8b8";
defparam s_cntr_8__Z.synch_mode="on";
defparam s_cntr_8__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_9__Z (
        .combout(cntr_5_0_i_m2[9]),
        .clk(clk_c),
        .dataa(r32_o_9),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_9),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_9__Z.operation_mode="normal";
defparam s_cntr_9__Z.output_mode="comb_only";
defparam s_cntr_9__Z.lut_mask="b8b8";
defparam s_cntr_9__Z.synch_mode="on";
defparam s_cntr_9__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_10__Z (
        .combout(cntr_5_0_i_m2[10]),
        .clk(clk_c),
        .dataa(r32_o_10),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_10),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_10__Z.operation_mode="normal";
defparam s_cntr_10__Z.output_mode="comb_only";
defparam s_cntr_10__Z.lut_mask="b8b8";
defparam s_cntr_10__Z.synch_mode="on";
defparam s_cntr_10__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_11__Z (
        .combout(cntr_5_0_i_m2[11]),
        .clk(clk_c),
        .dataa(r32_o_11),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_11),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_11__Z.operation_mode="normal";
defparam s_cntr_11__Z.output_mode="comb_only";
defparam s_cntr_11__Z.lut_mask="b8b8";
defparam s_cntr_11__Z.synch_mode="on";
defparam s_cntr_11__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_12__Z (
        .combout(cntr_5_0_i_m2[12]),
        .clk(clk_c),
        .dataa(r32_o_12),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_12),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_12__Z.operation_mode="normal";
defparam s_cntr_12__Z.output_mode="comb_only";
defparam s_cntr_12__Z.lut_mask="b8b8";
defparam s_cntr_12__Z.synch_mode="on";
defparam s_cntr_12__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_13__Z (
        .combout(cntr_5_0_i_m2[13]),
        .clk(clk_c),
        .dataa(r32_o_13),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_13),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_13__Z.operation_mode="normal";
defparam s_cntr_13__Z.output_mode="comb_only";
defparam s_cntr_13__Z.lut_mask="b8b8";
defparam s_cntr_13__Z.synch_mode="on";
defparam s_cntr_13__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_14__Z (
        .combout(cntr_5_0_i_m2[14]),
        .clk(clk_c),
        .dataa(r32_o_14),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_14),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_14__Z.operation_mode="normal";
defparam s_cntr_14__Z.output_mode="comb_only";
defparam s_cntr_14__Z.lut_mask="b8b8";
defparam s_cntr_14__Z.synch_mode="on";
defparam s_cntr_14__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_15__Z (
        .combout(cntr_5_0_i_m2[15]),
        .clk(clk_c),
        .dataa(r32_o_15),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_15),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_15__Z.operation_mode="normal";
defparam s_cntr_15__Z.output_mode="comb_only";
defparam s_cntr_15__Z.lut_mask="b8b8";
defparam s_cntr_15__Z.synch_mode="on";
defparam s_cntr_15__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_16__Z (
        .combout(cntr_5_0_i_m2[16]),
        .clk(clk_c),
        .dataa(r32_o_16),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_16),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_16__Z.operation_mode="normal";
defparam s_cntr_16__Z.output_mode="comb_only";
defparam s_cntr_16__Z.lut_mask="b8b8";
defparam s_cntr_16__Z.synch_mode="on";
defparam s_cntr_16__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_17__Z (
        .combout(cntr_5_0_i_m2[17]),
        .clk(clk_c),
        .dataa(r32_o_17),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_17),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_17__Z.operation_mode="normal";
defparam s_cntr_17__Z.output_mode="comb_only";
defparam s_cntr_17__Z.lut_mask="b8b8";
defparam s_cntr_17__Z.synch_mode="on";
defparam s_cntr_17__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_18__Z (
        .combout(cntr_5_0_i_m2[18]),
        .clk(clk_c),
        .dataa(r32_o_18),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_18),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_18__Z.operation_mode="normal";
defparam s_cntr_18__Z.output_mode="comb_only";
defparam s_cntr_18__Z.lut_mask="b8b8";
defparam s_cntr_18__Z.synch_mode="on";
defparam s_cntr_18__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_19__Z (
        .combout(cntr_5_0_i_m2[19]),
        .clk(clk_c),
        .dataa(r32_o_19),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_19),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_19__Z.operation_mode="normal";
defparam s_cntr_19__Z.output_mode="comb_only";
defparam s_cntr_19__Z.lut_mask="b8b8";
defparam s_cntr_19__Z.synch_mode="on";
defparam s_cntr_19__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_20__Z (
        .combout(cntr_5_0_i_m2[20]),
        .clk(clk_c),
        .dataa(r32_o_20),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_20),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_20__Z.operation_mode="normal";
defparam s_cntr_20__Z.output_mode="comb_only";
defparam s_cntr_20__Z.lut_mask="b8b8";
defparam s_cntr_20__Z.synch_mode="on";
defparam s_cntr_20__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_21__Z (
        .combout(cntr_5_0_i_m2[21]),
        .clk(clk_c),
        .dataa(r32_o_21),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_21),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_21__Z.operation_mode="normal";
defparam s_cntr_21__Z.output_mode="comb_only";
defparam s_cntr_21__Z.lut_mask="b8b8";
defparam s_cntr_21__Z.synch_mode="on";
defparam s_cntr_21__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_22__Z (
        .combout(cntr_5_0_i_m2[22]),
        .clk(clk_c),
        .dataa(r32_o_22),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_22),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_22__Z.operation_mode="normal";
defparam s_cntr_22__Z.output_mode="comb_only";
defparam s_cntr_22__Z.lut_mask="b8b8";
defparam s_cntr_22__Z.synch_mode="on";
defparam s_cntr_22__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_23__Z (
        .combout(cntr_5_0_i_m2[23]),
        .clk(clk_c),
        .dataa(r32_o_23),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_23),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_23__Z.operation_mode="normal";
defparam s_cntr_23__Z.output_mode="comb_only";
defparam s_cntr_23__Z.lut_mask="b8b8";
defparam s_cntr_23__Z.synch_mode="on";
defparam s_cntr_23__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_24__Z (
        .combout(cntr_5_0_i_m2[24]),
        .clk(clk_c),
        .dataa(r32_o_24),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_24),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_24__Z.operation_mode="normal";
defparam s_cntr_24__Z.output_mode="comb_only";
defparam s_cntr_24__Z.lut_mask="b8b8";
defparam s_cntr_24__Z.synch_mode="on";
defparam s_cntr_24__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_25__Z (
        .combout(cntr_5_0_i_m2[25]),
        .clk(clk_c),
        .dataa(r32_o_25),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_25),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_25__Z.operation_mode="normal";
defparam s_cntr_25__Z.output_mode="comb_only";
defparam s_cntr_25__Z.lut_mask="b8b8";
defparam s_cntr_25__Z.synch_mode="on";
defparam s_cntr_25__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_26__Z (
        .combout(cntr_5_0_i_m2[26]),
        .clk(clk_c),
        .dataa(r32_o_26),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_26),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_26__Z.operation_mode="normal";
defparam s_cntr_26__Z.output_mode="comb_only";
defparam s_cntr_26__Z.lut_mask="b8b8";
defparam s_cntr_26__Z.synch_mode="on";
defparam s_cntr_26__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_27__Z (
        .combout(cntr_5_0_i_m2[27]),
        .clk(clk_c),
        .dataa(r32_o_27),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_27),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_27__Z.operation_mode="normal";
defparam s_cntr_27__Z.output_mode="comb_only";
defparam s_cntr_27__Z.lut_mask="b8b8";
defparam s_cntr_27__Z.synch_mode="on";
defparam s_cntr_27__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_28__Z (
        .combout(cntr_5_0_i_m2[28]),
        .clk(clk_c),
        .dataa(r32_o_28),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_28),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_28__Z.operation_mode="normal";
defparam s_cntr_28__Z.output_mode="comb_only";
defparam s_cntr_28__Z.lut_mask="b8b8";
defparam s_cntr_28__Z.synch_mode="on";
defparam s_cntr_28__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_29__Z (
        .combout(cntr_5_0_i_m2[29]),
        .clk(clk_c),
        .dataa(r32_o_29),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_29),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_29__Z.operation_mode="normal";
defparam s_cntr_29__Z.output_mode="comb_only";
defparam s_cntr_29__Z.lut_mask="b8b8";
defparam s_cntr_29__Z.synch_mode="on";
defparam s_cntr_29__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_30__Z (
        .combout(cntr_5_0_i_m2[30]),
        .clk(clk_c),
        .dataa(r32_o_30),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_30),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_30__Z.operation_mode="normal";
defparam s_cntr_30__Z.output_mode="comb_only";
defparam s_cntr_30__Z.lut_mask="b8b8";
defparam s_cntr_30__Z.synch_mode="on";
defparam s_cntr_30__Z.sum_lutc_input="qfbk";
// @11:31
  cyclone_lcell s_cntr_31__Z (
        .combout(cntr_5_0_i_m2[31]),
        .clk(clk_c),
        .dataa(r32_o_31),
        .datab(wr_tmr_data_0_a2),
        .datac(r32_o_31),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(wr_tmr_data_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam s_cntr_31__Z.operation_mode="normal";
defparam s_cntr_31__Z.output_mode="comb_only";
defparam s_cntr_31__Z.lut_mask="b8b8";
defparam s_cntr_31__Z.synch_mode="on";
defparam s_cntr_31__Z.sum_lutc_input="qfbk";
// @11:36
  cyclone_lcell cntr_0__Z (
        .regout(cntr_0),
        .cout(cntr_cout[0]),
        .clk(clk_c),
        .dataa(cntr_0),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[0]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_0__Z.operation_mode="arithmetic";
defparam cntr_0__Z.output_mode="reg_only";
defparam cntr_0__Z.lut_mask="55aa";
defparam cntr_0__Z.synch_mode="on";
defparam cntr_0__Z.sum_lutc_input="datac";
// @11:36
  cyclone_lcell cntr_1__Z (
        .regout(cntr_1),
        .cout(cntr_cout[1]),
        .clk(clk_c),
        .dataa(cntr_1),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[1]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[0]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_1__Z.cin_used="true";
defparam cntr_1__Z.operation_mode="arithmetic";
defparam cntr_1__Z.output_mode="reg_only";
defparam cntr_1__Z.lut_mask="a5fa";
defparam cntr_1__Z.synch_mode="on";
defparam cntr_1__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_2__Z (
        .regout(cntr_2),
        .cout(cntr_cout[2]),
        .clk(clk_c),
        .dataa(cntr_2),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[2]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[1]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_2__Z.cin_used="true";
defparam cntr_2__Z.operation_mode="arithmetic";
defparam cntr_2__Z.output_mode="reg_only";
defparam cntr_2__Z.lut_mask="a5fa";
defparam cntr_2__Z.synch_mode="on";
defparam cntr_2__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_3__Z (
        .regout(cntr_3),
        .cout(cntr_cout[3]),
        .clk(clk_c),
        .dataa(cntr_3),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[3]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[2]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_3__Z.cin_used="true";
defparam cntr_3__Z.operation_mode="arithmetic";
defparam cntr_3__Z.output_mode="reg_only";
defparam cntr_3__Z.lut_mask="a5fa";
defparam cntr_3__Z.synch_mode="on";
defparam cntr_3__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_4__Z (
        .regout(cntr_4),
        .cout(cntr_cout[4]),
        .clk(clk_c),
        .dataa(cntr_4),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[4]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[3]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_4__Z.cin_used="true";
defparam cntr_4__Z.operation_mode="arithmetic";
defparam cntr_4__Z.output_mode="reg_only";
defparam cntr_4__Z.lut_mask="a5fa";
defparam cntr_4__Z.synch_mode="on";
defparam cntr_4__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_5__Z (
        .regout(cntr_5),
        .cout(cntr_cout[5]),
        .clk(clk_c),
        .dataa(cntr_5),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[5]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[4]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_5__Z.cin_used="true";
defparam cntr_5__Z.operation_mode="arithmetic";
defparam cntr_5__Z.output_mode="reg_only";
defparam cntr_5__Z.lut_mask="a5fa";
defparam cntr_5__Z.synch_mode="on";
defparam cntr_5__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_6__Z (
        .regout(cntr_6),
        .cout(cntr_cout[6]),
        .clk(clk_c),
        .dataa(cntr_6),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[6]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[5]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_6__Z.cin_used="true";
defparam cntr_6__Z.operation_mode="arithmetic";
defparam cntr_6__Z.output_mode="reg_only";
defparam cntr_6__Z.lut_mask="a5fa";
defparam cntr_6__Z.synch_mode="on";
defparam cntr_6__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_7__Z (
        .regout(cntr_7),
        .cout(cntr_cout[7]),
        .clk(clk_c),
        .dataa(cntr_7),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[7]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[6]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_7__Z.cin_used="true";
defparam cntr_7__Z.operation_mode="arithmetic";
defparam cntr_7__Z.output_mode="reg_only";
defparam cntr_7__Z.lut_mask="a5fa";
defparam cntr_7__Z.synch_mode="on";
defparam cntr_7__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_8__Z (
        .regout(cntr_8),
        .cout(cntr_cout[8]),
        .clk(clk_c),
        .dataa(cntr_8),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[8]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[7]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_8__Z.cin_used="true";
defparam cntr_8__Z.operation_mode="arithmetic";
defparam cntr_8__Z.output_mode="reg_only";
defparam cntr_8__Z.lut_mask="a5fa";
defparam cntr_8__Z.synch_mode="on";
defparam cntr_8__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_9__Z (
        .regout(cntr_9),
        .cout(cntr_cout[9]),
        .clk(clk_c),
        .dataa(cntr_9),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[9]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[8]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_9__Z.cin_used="true";
defparam cntr_9__Z.operation_mode="arithmetic";
defparam cntr_9__Z.output_mode="reg_only";
defparam cntr_9__Z.lut_mask="a5fa";
defparam cntr_9__Z.synch_mode="on";
defparam cntr_9__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_10__Z (
        .regout(cntr_10),
        .cout(cntr_cout[10]),
        .clk(clk_c),
        .dataa(cntr_10),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[10]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[9]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_10__Z.cin_used="true";
defparam cntr_10__Z.operation_mode="arithmetic";
defparam cntr_10__Z.output_mode="reg_only";
defparam cntr_10__Z.lut_mask="a5fa";
defparam cntr_10__Z.synch_mode="on";
defparam cntr_10__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_11__Z (
        .regout(cntr_11),
        .cout(cntr_cout[11]),
        .clk(clk_c),
        .dataa(cntr_11),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[11]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[10]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_11__Z.cin_used="true";
defparam cntr_11__Z.operation_mode="arithmetic";
defparam cntr_11__Z.output_mode="reg_only";
defparam cntr_11__Z.lut_mask="a5fa";
defparam cntr_11__Z.synch_mode="on";
defparam cntr_11__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_12__Z (
        .regout(cntr_12),
        .cout(cntr_cout[12]),
        .clk(clk_c),
        .dataa(cntr_12),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[12]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[11]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_12__Z.cin_used="true";
defparam cntr_12__Z.operation_mode="arithmetic";
defparam cntr_12__Z.output_mode="reg_only";
defparam cntr_12__Z.lut_mask="a5fa";
defparam cntr_12__Z.synch_mode="on";
defparam cntr_12__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_13__Z (
        .regout(cntr_13),
        .cout(cntr_cout[13]),
        .clk(clk_c),
        .dataa(cntr_13),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[13]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[12]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_13__Z.cin_used="true";
defparam cntr_13__Z.operation_mode="arithmetic";
defparam cntr_13__Z.output_mode="reg_only";
defparam cntr_13__Z.lut_mask="a5fa";
defparam cntr_13__Z.synch_mode="on";
defparam cntr_13__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_14__Z (
        .regout(cntr_14),
        .cout(cntr_cout[14]),
        .clk(clk_c),
        .dataa(cntr_14),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[14]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[13]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_14__Z.cin_used="true";
defparam cntr_14__Z.operation_mode="arithmetic";
defparam cntr_14__Z.output_mode="reg_only";
defparam cntr_14__Z.lut_mask="a5fa";
defparam cntr_14__Z.synch_mode="on";
defparam cntr_14__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_15__Z (
        .regout(cntr_15),
        .cout(cntr_cout[15]),
        .clk(clk_c),
        .dataa(cntr_15),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[15]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[14]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_15__Z.cin_used="true";
defparam cntr_15__Z.operation_mode="arithmetic";
defparam cntr_15__Z.output_mode="reg_only";
defparam cntr_15__Z.lut_mask="a5fa";
defparam cntr_15__Z.synch_mode="on";
defparam cntr_15__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_16__Z (
        .regout(cntr_16),
        .cout(cntr_cout[16]),
        .clk(clk_c),
        .dataa(cntr_16),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[16]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[15]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_16__Z.cin_used="true";
defparam cntr_16__Z.operation_mode="arithmetic";
defparam cntr_16__Z.output_mode="reg_only";
defparam cntr_16__Z.lut_mask="a5fa";
defparam cntr_16__Z.synch_mode="on";
defparam cntr_16__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_17__Z (
        .regout(cntr_17),
        .cout(cntr_cout[17]),
        .clk(clk_c),
        .dataa(cntr_17),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[17]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[16]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_17__Z.cin_used="true";
defparam cntr_17__Z.operation_mode="arithmetic";
defparam cntr_17__Z.output_mode="reg_only";
defparam cntr_17__Z.lut_mask="a5fa";
defparam cntr_17__Z.synch_mode="on";
defparam cntr_17__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_18__Z (
        .regout(cntr_18),
        .cout(cntr_cout[18]),
        .clk(clk_c),
        .dataa(cntr_18),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[18]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[17]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_18__Z.cin_used="true";
defparam cntr_18__Z.operation_mode="arithmetic";
defparam cntr_18__Z.output_mode="reg_only";
defparam cntr_18__Z.lut_mask="a5fa";
defparam cntr_18__Z.synch_mode="on";
defparam cntr_18__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_19__Z (
        .regout(cntr_19),
        .cout(cntr_cout[19]),
        .clk(clk_c),
        .dataa(cntr_19),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[19]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[18]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_19__Z.cin_used="true";
defparam cntr_19__Z.operation_mode="arithmetic";
defparam cntr_19__Z.output_mode="reg_only";
defparam cntr_19__Z.lut_mask="a5fa";
defparam cntr_19__Z.synch_mode="on";
defparam cntr_19__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_20__Z (
        .regout(cntr_20),
        .cout(cntr_cout[20]),
        .clk(clk_c),
        .dataa(cntr_20),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[20]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[19]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_20__Z.cin_used="true";
defparam cntr_20__Z.operation_mode="arithmetic";
defparam cntr_20__Z.output_mode="reg_only";
defparam cntr_20__Z.lut_mask="a5fa";
defparam cntr_20__Z.synch_mode="on";
defparam cntr_20__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_21__Z (
        .regout(cntr_21),
        .cout(cntr_cout[21]),
        .clk(clk_c),
        .dataa(cntr_21),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[21]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[20]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_21__Z.cin_used="true";
defparam cntr_21__Z.operation_mode="arithmetic";
defparam cntr_21__Z.output_mode="reg_only";
defparam cntr_21__Z.lut_mask="a5fa";
defparam cntr_21__Z.synch_mode="on";
defparam cntr_21__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_22__Z (
        .regout(cntr_22),
        .cout(cntr_cout[22]),
        .clk(clk_c),
        .dataa(cntr_22),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[22]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[21]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_22__Z.cin_used="true";
defparam cntr_22__Z.operation_mode="arithmetic";
defparam cntr_22__Z.output_mode="reg_only";
defparam cntr_22__Z.lut_mask="a5fa";
defparam cntr_22__Z.synch_mode="on";
defparam cntr_22__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_23__Z (
        .regout(cntr_23),
        .cout(cntr_cout[23]),
        .clk(clk_c),
        .dataa(cntr_23),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[23]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[22]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_23__Z.cin_used="true";
defparam cntr_23__Z.operation_mode="arithmetic";
defparam cntr_23__Z.output_mode="reg_only";
defparam cntr_23__Z.lut_mask="a5fa";
defparam cntr_23__Z.synch_mode="on";
defparam cntr_23__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_24__Z (
        .regout(cntr_24),
        .cout(cntr_cout[24]),
        .clk(clk_c),
        .dataa(cntr_24),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[24]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[23]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_24__Z.cin_used="true";
defparam cntr_24__Z.operation_mode="arithmetic";
defparam cntr_24__Z.output_mode="reg_only";
defparam cntr_24__Z.lut_mask="a5fa";
defparam cntr_24__Z.synch_mode="on";
defparam cntr_24__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_25__Z (
        .regout(cntr_25),
        .cout(cntr_cout[25]),
        .clk(clk_c),
        .dataa(cntr_25),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[25]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[24]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_25__Z.cin_used="true";
defparam cntr_25__Z.operation_mode="arithmetic";
defparam cntr_25__Z.output_mode="reg_only";
defparam cntr_25__Z.lut_mask="a5fa";
defparam cntr_25__Z.synch_mode="on";
defparam cntr_25__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_26__Z (
        .regout(cntr_26),
        .cout(cntr_cout[26]),
        .clk(clk_c),
        .dataa(cntr_26),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[26]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[25]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_26__Z.cin_used="true";
defparam cntr_26__Z.operation_mode="arithmetic";
defparam cntr_26__Z.output_mode="reg_only";
defparam cntr_26__Z.lut_mask="a5fa";
defparam cntr_26__Z.synch_mode="on";
defparam cntr_26__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_27__Z (
        .regout(cntr_27),
        .cout(cntr_cout[27]),
        .clk(clk_c),
        .dataa(cntr_27),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[27]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[26]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_27__Z.cin_used="true";
defparam cntr_27__Z.operation_mode="arithmetic";
defparam cntr_27__Z.output_mode="reg_only";
defparam cntr_27__Z.lut_mask="a5fa";
defparam cntr_27__Z.synch_mode="on";
defparam cntr_27__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_28__Z (
        .regout(cntr_28),
        .cout(cntr_cout[28]),
        .clk(clk_c),
        .dataa(cntr_28),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[28]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[27]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_28__Z.cin_used="true";
defparam cntr_28__Z.operation_mode="arithmetic";
defparam cntr_28__Z.output_mode="reg_only";
defparam cntr_28__Z.lut_mask="a5fa";
defparam cntr_28__Z.synch_mode="on";
defparam cntr_28__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_29__Z (
        .regout(cntr_29),
        .cout(cntr_cout[29]),
        .clk(clk_c),
        .dataa(cntr_29),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[29]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[28]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_29__Z.cin_used="true";
defparam cntr_29__Z.operation_mode="arithmetic";
defparam cntr_29__Z.output_mode="reg_only";
defparam cntr_29__Z.lut_mask="a5fa";
defparam cntr_29__Z.synch_mode="on";
defparam cntr_29__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_30__Z (
        .regout(cntr_30),
        .cout(cntr_cout[30]),
        .clk(clk_c),
        .dataa(cntr_30),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[30]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[29]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_30__Z.cin_used="true";
defparam cntr_30__Z.operation_mode="arithmetic";
defparam cntr_30__Z.output_mode="reg_only";
defparam cntr_30__Z.lut_mask="a5fa";
defparam cntr_30__Z.synch_mode="on";
defparam cntr_30__Z.sum_lutc_input="cin";
// @11:36
  cyclone_lcell cntr_31__Z (
        .regout(cntr_31),
        .clk(clk_c),
        .dataa(cntr_31),
        .datab(VCC),
        .datac(cntr_5_0_i_m2[31]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(un1_ld_1_i_0_i),
        .ena(N_1160_i),
        .cin(cntr_cout[30]),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntr_31__Z.cin_used="true";
defparam cntr_31__Z.operation_mode="normal";
defparam cntr_31__Z.output_mode="reg_only";
defparam cntr_31__Z.lut_mask="a5a5";
defparam cntr_31__Z.synch_mode="on";
defparam cntr_31__Z.sum_lutc_input="cin";
// @11:37
  cyclone_lcell un1_ld_1_i_0_cZ (
        .combout(un1_ld_1_i_0),
        .dataa(VCC),
        .datab(q_0_0_a2_0_31_28),
        .datac(q_0_0_a2_0_31_29),
        .datad(wr_tmr_data_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam un1_ld_1_i_0_cZ.operation_mode="normal";
defparam un1_ld_1_i_0_cZ.output_mode="comb_only";
defparam un1_ld_1_i_0_cZ.lut_mask="003f";
defparam un1_ld_1_i_0_cZ.synch_mode="off";
defparam un1_ld_1_i_0_cZ.sum_lutc_input="datac";
// @15:175
  cyclone_lcell cntrlde_i_a2 (
        .combout(N_1160_i),
        .dataa(VCC),
        .datab(VCC),
        .datac(cmd_1),
        .datad(un1_ld_1_i_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cntrlde_i_a2.operation_mode="normal";
defparam cntrlde_i_a2.output_mode="comb_only";
defparam cntrlde_i_a2.lut_mask="f0ff";
defparam cntrlde_i_a2.synch_mode="off";
defparam cntrlde_i_a2.sum_lutc_input="datac";
// @11:46
  tmr_d itmr_d (
        .cntr_30(cntr_30),
        .cntr_29(cntr_29),
        .cntr_23(cntr_23),
        .cntr_15(cntr_15),
        .cntr_31(cntr_31),
        .cntr_27(cntr_27),
        .cntr_25(cntr_25),
        .cntr_21(cntr_21),
        .cntr_5(cntr_5),
        .cntr_4(cntr_4),
        .cntr_3(cntr_3),
        .cntr_2(cntr_2),
        .cntr_1(cntr_1),
        .cntr_0(cntr_0),
        .cntr_7(cntr_7),
        .cntr_6(cntr_6),
        .cntr_24(cntr_24),
        .cntr_22(cntr_22),
        .cntr_20(cntr_20),
        .cntr_18(cntr_18),
        .cntr_16(cntr_16),
        .cntr_14(cntr_14),
        .cntr_12(cntr_12),
        .cntr_11(cntr_11),
        .cntr_19(cntr_19),
        .cntr_17(cntr_17),
        .cntr_13(cntr_13),
        .cntr_10(cntr_10),
        .cntr_9(cntr_9),
        .cntr_8(cntr_8),
        .cntr_28(cntr_28),
        .cntr_26(cntr_26),
        .cmd_0(cmd_0),
        .q_0_0_a2_0_31_29(q_0_0_a2_0_31_29),
        .q_0_0_a2_0_31_28(q_0_0_a2_0_31_28),
        .clk_c(clk_c),
        .q(q)
);
  assign  un1_ld_1_i_0_i = ~ un1_ld_1_i_0;
endmodule /* tmr0 */

// VQM4.1+ 
module mips_dvc (
  ua_state_0,
  clk_ctr_3,
  clk_ctr_2,
  clk_ctr_0,
  dmem_ctl_o_1,
  dmem_ctl_o_0,
  dmem_ctl_o_2,
  cmd_2,
  cmd_3,
  cmd_4,
  cmd_5,
  cmd_6,
  r32_o_0_20,
  r32_o_0_18,
  r32_o_0_21,
  r32_o_0_16,
  r32_o_0_15,
  r32_o_0_22,
  r32_o_0_19,
  r32_o_0_24,
  r32_o_0_11,
  r32_o_0_10,
  r32_o_0_31,
  r32_o_0_30,
  r32_o_0_12,
  r32_o_0_9,
  r32_o_0_8,
  r32_o_0_6,
  r32_o_0_7,
  r32_o_0_26,
  r32_o_0_23,
  r32_o_0_25,
  r32_o_0_13,
  r32_o_0_29,
  r32_o_0_27,
  r32_o_0_28,
  r32_o_0_0,
  r32_o_0_1,
  r32_o_0_17,
  r32_o_0_4,
  r32_o_0_3,
  r32_o_0_2,
  r32_o_0_5,
  dout_0,
  dout_1,
  dout_2,
  dout_3,
  dout_4,
  dout_5,
  dout_6,
  dout_7,
  dout_8,
  dout_9,
  dout_10,
  dout_11,
  dout_12,
  dout_13,
  dout_14,
  dout_15,
  dout_16,
  dout_17,
  dout_18,
  dout_19,
  dout_20,
  dout_21,
  dout_22,
  dout_23,
  dout_24,
  dout_25,
  dout_26,
  dout_27,
  dout_28,
  dout_29,
  dout_30,
  dout_31,
  lcd_data_0,
  lcd_data_1,
  lcd_data_2,
  lcd_data_3,
  lcd_data_4,
  lcd_data_5,
  lcd_data_6,
  lcd_data_7,
  irq_addr_o_0,
  irq_addr_o_1,
  irq_addr_o_2,
  irq_addr_o_3,
  irq_addr_o_4,
  irq_addr_o_5,
  irq_addr_o_6,
  irq_addr_o_7,
  irq_addr_o_8,
  irq_addr_o_9,
  irq_addr_o_10,
  irq_addr_o_11,
  irq_addr_o_12,
  irq_addr_o_13,
  irq_addr_o_14,
  irq_addr_o_15,
  irq_addr_o_16,
  irq_addr_o_17,
  irq_addr_o_18,
  irq_addr_o_19,
  irq_addr_o_20,
  irq_addr_o_21,
  irq_addr_o_22,
  irq_addr_o_23,
  irq_addr_o_24,
  irq_addr_o_25,
  irq_addr_o_26,
  irq_addr_o_27,
  irq_addr_o_28,
  irq_addr_o_29,
  irq_addr_o_30,
  irq_addr_o_31,
  r32_o_31,
  r32_o_30,
  r32_o_29,
  r32_o_28,
  r32_o_27,
  r32_o_26,
  r32_o_25,
  r32_o_24,
  r32_o_23,
  r32_o_22,
  r32_o_21,
  r32_o_20,
  r32_o_19,
  r32_o_18,
  r32_o_17,
  r32_o_16,
  r32_o_15,
  r32_o_14,
  r32_o_13,
  r32_o_12,
  r32_o_11,
  r32_o_10,
  r32_o_9,
  r32_o_8,
  r32_o_7,
  r32_o_6,
  r32_o_5,
  r32_o_4,
  r32_o_3,
  r32_o_2,
  r32_o_1,
  r32_o_0,
  N_60_i,
  N_58_i,
  m18_0,
  N_62_i,
  m15_0,
  m11_0,
  N_44_i,
  N_29_i,
  N_27_i,
  m18,
  N_31_i,
  m15,
  m11,
  N_13_i,
  un1_clk_ctr_equ0_0_a2_0,
  un1_clk_ctr_equ0_0_a2,
  G_303,
  G_295_x,
  ser_rxd_c,
  clk_ctr_equ15_0_a2_0,
  bit_ctr23_i_0_o2,
  G_311,
  G_176_x,
  txd,
  read_request_ff,
  clk_ctr_equ15_0_a2,
  wr_cmd_0_a2_1_21_a,
  wr_tmr_data_0_a2_0_i,
  wr_cmd_0_a2_0,
  G_320,
  G_319,
  rst_c,
  key1_c,
  key2_c,
  irq_req_o,
  clk_c
);
output ua_state_0 ;
output clk_ctr_3 ;
output clk_ctr_2 ;
output clk_ctr_0 ;
input dmem_ctl_o_1 ;
input dmem_ctl_o_0 ;
input dmem_ctl_o_2 ;
output cmd_2 ;
output cmd_3 ;
output cmd_4 ;
output cmd_5 ;
output cmd_6 ;
input r32_o_0_20 ;
input r32_o_0_18 ;
input r32_o_0_21 ;
input r32_o_0_16 ;
input r32_o_0_15 ;
input r32_o_0_22 ;
input r32_o_0_19 ;
input r32_o_0_24 ;
input r32_o_0_11 ;
input r32_o_0_10 ;
input r32_o_0_31 ;
input r32_o_0_30 ;
input r32_o_0_12 ;
input r32_o_0_9 ;
input r32_o_0_8 ;
input r32_o_0_6 ;
input r32_o_0_7 ;
input r32_o_0_26 ;
input r32_o_0_23 ;
input r32_o_0_25 ;
input r32_o_0_13 ;
input r32_o_0_29 ;
input r32_o_0_27 ;
input r32_o_0_28 ;
input r32_o_0_0 ;
input r32_o_0_1 ;
input r32_o_0_17 ;
input r32_o_0_4 ;
input r32_o_0_3 ;
input r32_o_0_2 ;
input r32_o_0_5 ;
output dout_0 ;
output dout_1 ;
output dout_2 ;
output dout_3 ;
output dout_4 ;
output dout_5 ;
output dout_6 ;
output dout_7 ;
output dout_8 ;
output dout_9 ;
output dout_10 ;
output dout_11 ;
output dout_12 ;
output dout_13 ;
output dout_14 ;
output dout_15 ;
output dout_16 ;
output dout_17 ;
output dout_18 ;
output dout_19 ;
output dout_20 ;
output dout_21 ;
output dout_22 ;
output dout_23 ;
output dout_24 ;
output dout_25 ;
output dout_26 ;
output dout_27 ;
output dout_28 ;
output dout_29 ;
output dout_30 ;
output dout_31 ;
output lcd_data_0 ;
output lcd_data_1 ;
output lcd_data_2 ;
output lcd_data_3 ;
output lcd_data_4 ;
output lcd_data_5 ;
output lcd_data_6 ;
output lcd_data_7 ;
output irq_addr_o_0 ;
output irq_addr_o_1 ;
output irq_addr_o_2 ;
output irq_addr_o_3 ;
output irq_addr_o_4 ;
output irq_addr_o_5 ;
output irq_addr_o_6 ;
output irq_addr_o_7 ;
output irq_addr_o_8 ;
output irq_addr_o_9 ;
output irq_addr_o_10 ;
output irq_addr_o_11 ;
output irq_addr_o_12 ;
output irq_addr_o_13 ;
output irq_addr_o_14 ;
output irq_addr_o_15 ;
output irq_addr_o_16 ;
output irq_addr_o_17 ;
output irq_addr_o_18 ;
output irq_addr_o_19 ;
output irq_addr_o_20 ;
output irq_addr_o_21 ;
output irq_addr_o_22 ;
output irq_addr_o_23 ;
output irq_addr_o_24 ;
output irq_addr_o_25 ;
output irq_addr_o_26 ;
output irq_addr_o_27 ;
output irq_addr_o_28 ;
output irq_addr_o_29 ;
output irq_addr_o_30 ;
output irq_addr_o_31 ;
input r32_o_31 ;
input r32_o_30 ;
input r32_o_29 ;
input r32_o_28 ;
input r32_o_27 ;
input r32_o_26 ;
input r32_o_25 ;
input r32_o_24 ;
input r32_o_23 ;
input r32_o_22 ;
input r32_o_21 ;
input r32_o_20 ;
input r32_o_19 ;
input r32_o_18 ;
input r32_o_17 ;
input r32_o_16 ;
input r32_o_15 ;
input r32_o_14 ;
input r32_o_13 ;
input r32_o_12 ;
input r32_o_11 ;
input r32_o_10 ;
input r32_o_9 ;
input r32_o_8 ;
input r32_o_7 ;
input r32_o_6 ;
input r32_o_5 ;
input r32_o_4 ;
input r32_o_3 ;
input r32_o_2 ;
input r32_o_1 ;
input r32_o_0 ;
output N_60_i ;
output N_58_i ;
output m18_0 ;
output N_62_i ;
output m15_0 ;
output m11_0 ;
output N_44_i ;
output N_29_i ;
output N_27_i ;
output m18 ;
output N_31_i ;
output m15 ;
output m11 ;
output N_13_i ;
output un1_clk_ctr_equ0_0_a2_0 ;
output un1_clk_ctr_equ0_0_a2 ;
input G_303 ;
input G_295_x ;
input ser_rxd_c ;
output clk_ctr_equ15_0_a2_0 ;
output bit_ctr23_i_0_o2 ;
input G_311 ;
input G_176_x ;
output txd ;
output read_request_ff ;
output clk_ctr_equ15_0_a2 ;
input wr_cmd_0_a2_1_21_a ;
output wr_tmr_data_0_a2_0_i ;
output wr_cmd_0_a2_0 ;
input G_320 ;
input G_319 ;
input rst_c ;
input key1_c ;
input key2_c ;
output irq_req_o ;
input clk_c ;
wire ua_state_0 ;
wire clk_ctr_3 ;
wire clk_ctr_2 ;
wire clk_ctr_0 ;
wire dmem_ctl_o_1 ;
wire dmem_ctl_o_0 ;
wire dmem_ctl_o_2 ;
wire cmd_2 ;
wire cmd_3 ;
wire cmd_4 ;
wire cmd_5 ;
wire cmd_6 ;
wire r32_o_0_20 ;
wire r32_o_0_18 ;
wire r32_o_0_21 ;
wire r32_o_0_16 ;
wire r32_o_0_15 ;
wire r32_o_0_22 ;
wire r32_o_0_19 ;
wire r32_o_0_24 ;
wire r32_o_0_11 ;
wire r32_o_0_10 ;
wire r32_o_0_31 ;
wire r32_o_0_30 ;
wire r32_o_0_12 ;
wire r32_o_0_9 ;
wire r32_o_0_8 ;
wire r32_o_0_6 ;
wire r32_o_0_7 ;
wire r32_o_0_26 ;
wire r32_o_0_23 ;
wire r32_o_0_25 ;
wire r32_o_0_13 ;
wire r32_o_0_29 ;
wire r32_o_0_27 ;
wire r32_o_0_28 ;
wire r32_o_0_0 ;
wire r32_o_0_1 ;
wire r32_o_0_17 ;
wire r32_o_0_4 ;
wire r32_o_0_3 ;
wire r32_o_0_2 ;
wire r32_o_0_5 ;
wire dout_0 ;
wire dout_1 ;
wire dout_2 ;
wire dout_3 ;
wire dout_4 ;
wire dout_5 ;
wire dout_6 ;
wire dout_7 ;
wire dout_8 ;
wire dout_9 ;
wire dout_10 ;
wire dout_11 ;
wire dout_12 ;
wire dout_13 ;
wire dout_14 ;
wire dout_15 ;
wire dout_16 ;
wire dout_17 ;
wire dout_18 ;
wire dout_19 ;
wire dout_20 ;
wire dout_21 ;
wire dout_22 ;
wire dout_23 ;
wire dout_24 ;
wire dout_25 ;
wire dout_26 ;
wire dout_27 ;
wire dout_28 ;
wire dout_29 ;
wire dout_30 ;
wire dout_31 ;
wire lcd_data_0 ;
wire lcd_data_1 ;
wire lcd_data_2 ;
wire lcd_data_3 ;
wire lcd_data_4 ;
wire lcd_data_5 ;
wire lcd_data_6 ;
wire lcd_data_7 ;
wire irq_addr_o_0 ;
wire irq_addr_o_1 ;
wire irq_addr_o_2 ;
wire irq_addr_o_3 ;
wire irq_addr_o_4 ;
wire irq_addr_o_5 ;
wire irq_addr_o_6 ;
wire irq_addr_o_7 ;
wire irq_addr_o_8 ;
wire irq_addr_o_9 ;
wire irq_addr_o_10 ;
wire irq_addr_o_11 ;
wire irq_addr_o_12 ;
wire irq_addr_o_13 ;
wire irq_addr_o_14 ;
wire irq_addr_o_15 ;
wire irq_addr_o_16 ;
wire irq_addr_o_17 ;
wire irq_addr_o_18 ;
wire irq_addr_o_19 ;
wire irq_addr_o_20 ;
wire irq_addr_o_21 ;
wire irq_addr_o_22 ;
wire irq_addr_o_23 ;
wire irq_addr_o_24 ;
wire irq_addr_o_25 ;
wire irq_addr_o_26 ;
wire irq_addr_o_27 ;
wire irq_addr_o_28 ;
wire irq_addr_o_29 ;
wire irq_addr_o_30 ;
wire irq_addr_o_31 ;
wire r32_o_31 ;
wire r32_o_30 ;
wire r32_o_29 ;
wire r32_o_28 ;
wire r32_o_27 ;
wire r32_o_26 ;
wire r32_o_25 ;
wire r32_o_24 ;
wire r32_o_23 ;
wire r32_o_22 ;
wire r32_o_21 ;
wire r32_o_20 ;
wire r32_o_19 ;
wire r32_o_18 ;
wire r32_o_17 ;
wire r32_o_16 ;
wire r32_o_15 ;
wire r32_o_14 ;
wire r32_o_13 ;
wire r32_o_12 ;
wire r32_o_11 ;
wire r32_o_10 ;
wire r32_o_9 ;
wire r32_o_8 ;
wire r32_o_7 ;
wire r32_o_6 ;
wire r32_o_5 ;
wire r32_o_4 ;
wire r32_o_3 ;
wire r32_o_2 ;
wire r32_o_1 ;
wire r32_o_0 ;
wire N_60_i ;
wire N_58_i ;
wire m18_0 ;
wire N_62_i ;
wire m15_0 ;
wire m11_0 ;
wire N_44_i ;
wire N_29_i ;
wire N_27_i ;
wire m18 ;
wire N_31_i ;
wire m15 ;
wire m11 ;
wire N_13_i ;
wire un1_clk_ctr_equ0_0_a2_0 ;
wire un1_clk_ctr_equ0_0_a2 ;
wire G_303 ;
wire G_295_x ;
wire ser_rxd_c ;
wire clk_ctr_equ15_0_a2_0 ;
wire bit_ctr23_i_0_o2 ;
wire G_311 ;
wire G_176_x ;
wire txd ;
wire read_request_ff ;
wire clk_ctr_equ15_0_a2 ;
wire wr_cmd_0_a2_1_21_a ;
wire wr_tmr_data_0_a2_0_i ;
wire wr_cmd_0_a2_0 ;
wire G_320 ;
wire G_319 ;
wire rst_c ;
wire key1_c ;
wire key2_c ;
wire irq_req_o ;
wire clk_c ;
wire [31:0] irq_addr_o_6_a;
wire [31:0] key1_addr;
wire [31:0] cmd;
wire [31:0] key2_addr;
wire [31:0] cntr;
wire [0:0] dout_0_0_a4_0;
wire [7:0] buffer_reg;
wire [0:0] dout_0_0_a2_0_4;
wire [7:7] dout_0_0_0_a2_0_1;
wire [7:0] dout_0_0_0_a;
wire [3:3] dout_0_0_0_a2_1_1;
wire [0:0] dout_0_0_a4;
wire [3:3] dout_0_0_0_a2_0;
wire [2:2] dout_0_0_0_a2_1_2;
wire [2:1] dout_0_0_0_0;
wire [0:0] dout_0_0_0;
wire [7:0] seg7data;
wire [0:0] dout_0_0_a4_1_0;
wire [7:7] dout_0_0_0_a2_0_1_1_0;
wire [2:1] dout_0_0_0_0_a;
wire [0:0] dout_0_0_a4_0_i;
wire q ;
wire VCC ;
wire tmr_addr_0_sqmuxa_0_a2 ;
wire irq_addr_o_6_sn_m1 ;
wire key2_addr_0_sqmuxa_0_a2 ;
wire key1_addr_0_sqmuxa_0_a2 ;
wire lcd_data_0_sqmuxa_0_a2 ;
wire w_txd_busy ;
wire rr_key1 ;
wire rr_key2 ;
wire irq_req_o_2_i_i_a ;
wire r_key2 ;
wire r_key1 ;
wire tmr_addr_0_sqmuxa_0_a2_0 ;
wire key2_addr_0_sqmuxa_0_a2_a ;
wire wr_uartdata_0_a2 ;
wire wr_uartdata_0_a2_a ;
wire wr_tmr_data_0_a2 ;
wire wr_cmd_0_a2_1 ;
wire wr_cmd_0_a2_1_15 ;
wire wr_cmd_0_a2_1_16 ;
wire wr_cmd_0_a2_1_21 ;
wire wr_cmd_0_a2_1_a ;
wire wr_cmd_0_a2_1_19 ;
wire wr_cmd_0_a2_1_20 ;
wire wr_cmd_0_a2_1_17 ;
wire wr_cmd_0_a2_1_18 ;
wire q_0 ;
wire GND ;
wire rst_c_i ;
//@1:1
  assign VCC = 1'b1;
  assign GND = 1'b0;
// @15:129
  cyclone_lcell tmr_addr_0__Z (
        .combout(irq_addr_o_6_a[0]),
        .clk(clk_c),
        .dataa(key1_addr[0]),
        .datab(cmd[31]),
        .datac(r32_o_0),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_0__Z.operation_mode="normal";
defparam tmr_addr_0__Z.output_mode="comb_only";
defparam tmr_addr_0__Z.lut_mask="1d55";
defparam tmr_addr_0__Z.synch_mode="on";
defparam tmr_addr_0__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_1__Z (
        .combout(irq_addr_o_6_a[1]),
        .clk(clk_c),
        .dataa(key1_addr[1]),
        .datab(cmd[31]),
        .datac(r32_o_1),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_1__Z.operation_mode="normal";
defparam tmr_addr_1__Z.output_mode="comb_only";
defparam tmr_addr_1__Z.lut_mask="1d55";
defparam tmr_addr_1__Z.synch_mode="on";
defparam tmr_addr_1__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_2__Z (
        .combout(irq_addr_o_6_a[2]),
        .clk(clk_c),
        .dataa(key1_addr[2]),
        .datab(cmd[31]),
        .datac(r32_o_2),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_2__Z.operation_mode="normal";
defparam tmr_addr_2__Z.output_mode="comb_only";
defparam tmr_addr_2__Z.lut_mask="1d55";
defparam tmr_addr_2__Z.synch_mode="on";
defparam tmr_addr_2__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_3__Z (
        .combout(irq_addr_o_6_a[3]),
        .clk(clk_c),
        .dataa(key1_addr[3]),
        .datab(cmd[31]),
        .datac(r32_o_3),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_3__Z.operation_mode="normal";
defparam tmr_addr_3__Z.output_mode="comb_only";
defparam tmr_addr_3__Z.lut_mask="1d55";
defparam tmr_addr_3__Z.synch_mode="on";
defparam tmr_addr_3__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_4__Z (
        .combout(irq_addr_o_6_a[4]),
        .clk(clk_c),
        .dataa(key1_addr[4]),
        .datab(cmd[31]),
        .datac(r32_o_4),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_4__Z.operation_mode="normal";
defparam tmr_addr_4__Z.output_mode="comb_only";
defparam tmr_addr_4__Z.lut_mask="1d55";
defparam tmr_addr_4__Z.synch_mode="on";
defparam tmr_addr_4__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_5__Z (
        .combout(irq_addr_o_6_a[5]),
        .clk(clk_c),
        .dataa(key1_addr[5]),
        .datab(cmd[31]),
        .datac(r32_o_5),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_5__Z.operation_mode="normal";
defparam tmr_addr_5__Z.output_mode="comb_only";
defparam tmr_addr_5__Z.lut_mask="1d55";
defparam tmr_addr_5__Z.synch_mode="on";
defparam tmr_addr_5__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_6__Z (
        .combout(irq_addr_o_6_a[6]),
        .clk(clk_c),
        .dataa(key1_addr[6]),
        .datab(cmd[31]),
        .datac(r32_o_6),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_6__Z.operation_mode="normal";
defparam tmr_addr_6__Z.output_mode="comb_only";
defparam tmr_addr_6__Z.lut_mask="1d55";
defparam tmr_addr_6__Z.synch_mode="on";
defparam tmr_addr_6__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_7__Z (
        .combout(irq_addr_o_6_a[7]),
        .clk(clk_c),
        .dataa(key1_addr[7]),
        .datab(cmd[31]),
        .datac(r32_o_7),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_7__Z.operation_mode="normal";
defparam tmr_addr_7__Z.output_mode="comb_only";
defparam tmr_addr_7__Z.lut_mask="1d55";
defparam tmr_addr_7__Z.synch_mode="on";
defparam tmr_addr_7__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_8__Z (
        .combout(irq_addr_o_6_a[8]),
        .clk(clk_c),
        .dataa(key1_addr[8]),
        .datab(cmd[31]),
        .datac(r32_o_8),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_8__Z.operation_mode="normal";
defparam tmr_addr_8__Z.output_mode="comb_only";
defparam tmr_addr_8__Z.lut_mask="1d55";
defparam tmr_addr_8__Z.synch_mode="on";
defparam tmr_addr_8__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_9__Z (
        .combout(irq_addr_o_6_a[9]),
        .clk(clk_c),
        .dataa(key1_addr[9]),
        .datab(cmd[31]),
        .datac(r32_o_9),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_9__Z.operation_mode="normal";
defparam tmr_addr_9__Z.output_mode="comb_only";
defparam tmr_addr_9__Z.lut_mask="1d55";
defparam tmr_addr_9__Z.synch_mode="on";
defparam tmr_addr_9__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_10__Z (
        .combout(irq_addr_o_6_a[10]),
        .clk(clk_c),
        .dataa(key1_addr[10]),
        .datab(cmd[31]),
        .datac(r32_o_10),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_10__Z.operation_mode="normal";
defparam tmr_addr_10__Z.output_mode="comb_only";
defparam tmr_addr_10__Z.lut_mask="1d55";
defparam tmr_addr_10__Z.synch_mode="on";
defparam tmr_addr_10__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_11__Z (
        .combout(irq_addr_o_6_a[11]),
        .clk(clk_c),
        .dataa(key1_addr[11]),
        .datab(cmd[31]),
        .datac(r32_o_11),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_11__Z.operation_mode="normal";
defparam tmr_addr_11__Z.output_mode="comb_only";
defparam tmr_addr_11__Z.lut_mask="1d55";
defparam tmr_addr_11__Z.synch_mode="on";
defparam tmr_addr_11__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_12__Z (
        .combout(irq_addr_o_6_a[12]),
        .clk(clk_c),
        .dataa(key1_addr[12]),
        .datab(cmd[31]),
        .datac(r32_o_12),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_12__Z.operation_mode="normal";
defparam tmr_addr_12__Z.output_mode="comb_only";
defparam tmr_addr_12__Z.lut_mask="1d55";
defparam tmr_addr_12__Z.synch_mode="on";
defparam tmr_addr_12__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_13__Z (
        .combout(irq_addr_o_6_a[13]),
        .clk(clk_c),
        .dataa(key1_addr[13]),
        .datab(cmd[31]),
        .datac(r32_o_13),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_13__Z.operation_mode="normal";
defparam tmr_addr_13__Z.output_mode="comb_only";
defparam tmr_addr_13__Z.lut_mask="1d55";
defparam tmr_addr_13__Z.synch_mode="on";
defparam tmr_addr_13__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_14__Z (
        .combout(irq_addr_o_6_a[14]),
        .clk(clk_c),
        .dataa(key1_addr[14]),
        .datab(cmd[31]),
        .datac(r32_o_14),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_14__Z.operation_mode="normal";
defparam tmr_addr_14__Z.output_mode="comb_only";
defparam tmr_addr_14__Z.lut_mask="1d55";
defparam tmr_addr_14__Z.synch_mode="on";
defparam tmr_addr_14__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_15__Z (
        .combout(irq_addr_o_6_a[15]),
        .clk(clk_c),
        .dataa(key1_addr[15]),
        .datab(cmd[31]),
        .datac(r32_o_15),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_15__Z.operation_mode="normal";
defparam tmr_addr_15__Z.output_mode="comb_only";
defparam tmr_addr_15__Z.lut_mask="1d55";
defparam tmr_addr_15__Z.synch_mode="on";
defparam tmr_addr_15__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_16__Z (
        .combout(irq_addr_o_6_a[16]),
        .clk(clk_c),
        .dataa(key1_addr[16]),
        .datab(cmd[31]),
        .datac(r32_o_16),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_16__Z.operation_mode="normal";
defparam tmr_addr_16__Z.output_mode="comb_only";
defparam tmr_addr_16__Z.lut_mask="1d55";
defparam tmr_addr_16__Z.synch_mode="on";
defparam tmr_addr_16__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_17__Z (
        .combout(irq_addr_o_6_a[17]),
        .clk(clk_c),
        .dataa(key1_addr[17]),
        .datab(cmd[31]),
        .datac(r32_o_17),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_17__Z.operation_mode="normal";
defparam tmr_addr_17__Z.output_mode="comb_only";
defparam tmr_addr_17__Z.lut_mask="1d55";
defparam tmr_addr_17__Z.synch_mode="on";
defparam tmr_addr_17__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_18__Z (
        .combout(irq_addr_o_6_a[18]),
        .clk(clk_c),
        .dataa(key1_addr[18]),
        .datab(cmd[31]),
        .datac(r32_o_18),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_18__Z.operation_mode="normal";
defparam tmr_addr_18__Z.output_mode="comb_only";
defparam tmr_addr_18__Z.lut_mask="1d55";
defparam tmr_addr_18__Z.synch_mode="on";
defparam tmr_addr_18__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_19__Z (
        .combout(irq_addr_o_6_a[19]),
        .clk(clk_c),
        .dataa(key1_addr[19]),
        .datab(cmd[31]),
        .datac(r32_o_19),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_19__Z.operation_mode="normal";
defparam tmr_addr_19__Z.output_mode="comb_only";
defparam tmr_addr_19__Z.lut_mask="1d55";
defparam tmr_addr_19__Z.synch_mode="on";
defparam tmr_addr_19__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_20__Z (
        .combout(irq_addr_o_6_a[20]),
        .clk(clk_c),
        .dataa(key1_addr[20]),
        .datab(cmd[31]),
        .datac(r32_o_20),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_20__Z.operation_mode="normal";
defparam tmr_addr_20__Z.output_mode="comb_only";
defparam tmr_addr_20__Z.lut_mask="1d55";
defparam tmr_addr_20__Z.synch_mode="on";
defparam tmr_addr_20__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_21__Z (
        .combout(irq_addr_o_6_a[21]),
        .clk(clk_c),
        .dataa(key1_addr[21]),
        .datab(cmd[31]),
        .datac(r32_o_21),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_21__Z.operation_mode="normal";
defparam tmr_addr_21__Z.output_mode="comb_only";
defparam tmr_addr_21__Z.lut_mask="1d55";
defparam tmr_addr_21__Z.synch_mode="on";
defparam tmr_addr_21__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_22__Z (
        .combout(irq_addr_o_6_a[22]),
        .clk(clk_c),
        .dataa(key1_addr[22]),
        .datab(cmd[31]),
        .datac(r32_o_22),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_22__Z.operation_mode="normal";
defparam tmr_addr_22__Z.output_mode="comb_only";
defparam tmr_addr_22__Z.lut_mask="1d55";
defparam tmr_addr_22__Z.synch_mode="on";
defparam tmr_addr_22__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_23__Z (
        .combout(irq_addr_o_6_a[23]),
        .clk(clk_c),
        .dataa(key1_addr[23]),
        .datab(cmd[31]),
        .datac(r32_o_23),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_23__Z.operation_mode="normal";
defparam tmr_addr_23__Z.output_mode="comb_only";
defparam tmr_addr_23__Z.lut_mask="1d55";
defparam tmr_addr_23__Z.synch_mode="on";
defparam tmr_addr_23__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_24__Z (
        .combout(irq_addr_o_6_a[24]),
        .clk(clk_c),
        .dataa(key1_addr[24]),
        .datab(cmd[31]),
        .datac(r32_o_24),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_24__Z.operation_mode="normal";
defparam tmr_addr_24__Z.output_mode="comb_only";
defparam tmr_addr_24__Z.lut_mask="1d55";
defparam tmr_addr_24__Z.synch_mode="on";
defparam tmr_addr_24__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_25__Z (
        .combout(irq_addr_o_6_a[25]),
        .clk(clk_c),
        .dataa(key1_addr[25]),
        .datab(cmd[31]),
        .datac(r32_o_25),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_25__Z.operation_mode="normal";
defparam tmr_addr_25__Z.output_mode="comb_only";
defparam tmr_addr_25__Z.lut_mask="1d55";
defparam tmr_addr_25__Z.synch_mode="on";
defparam tmr_addr_25__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_26__Z (
        .combout(irq_addr_o_6_a[26]),
        .clk(clk_c),
        .dataa(key1_addr[26]),
        .datab(cmd[31]),
        .datac(r32_o_26),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_26__Z.operation_mode="normal";
defparam tmr_addr_26__Z.output_mode="comb_only";
defparam tmr_addr_26__Z.lut_mask="1d55";
defparam tmr_addr_26__Z.synch_mode="on";
defparam tmr_addr_26__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_27__Z (
        .combout(irq_addr_o_6_a[27]),
        .clk(clk_c),
        .dataa(key1_addr[27]),
        .datab(cmd[31]),
        .datac(r32_o_27),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_27__Z.operation_mode="normal";
defparam tmr_addr_27__Z.output_mode="comb_only";
defparam tmr_addr_27__Z.lut_mask="1d55";
defparam tmr_addr_27__Z.synch_mode="on";
defparam tmr_addr_27__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_28__Z (
        .combout(irq_addr_o_6_a[28]),
        .clk(clk_c),
        .dataa(key1_addr[28]),
        .datab(cmd[31]),
        .datac(r32_o_28),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_28__Z.operation_mode="normal";
defparam tmr_addr_28__Z.output_mode="comb_only";
defparam tmr_addr_28__Z.lut_mask="1d55";
defparam tmr_addr_28__Z.synch_mode="on";
defparam tmr_addr_28__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_29__Z (
        .combout(irq_addr_o_6_a[29]),
        .clk(clk_c),
        .dataa(key1_addr[29]),
        .datab(cmd[31]),
        .datac(r32_o_29),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_29__Z.operation_mode="normal";
defparam tmr_addr_29__Z.output_mode="comb_only";
defparam tmr_addr_29__Z.lut_mask="1d55";
defparam tmr_addr_29__Z.synch_mode="on";
defparam tmr_addr_29__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_30__Z (
        .combout(irq_addr_o_6_a[30]),
        .clk(clk_c),
        .dataa(key1_addr[30]),
        .datab(cmd[31]),
        .datac(r32_o_30),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_30__Z.operation_mode="normal";
defparam tmr_addr_30__Z.output_mode="comb_only";
defparam tmr_addr_30__Z.lut_mask="1d55";
defparam tmr_addr_30__Z.synch_mode="on";
defparam tmr_addr_30__Z.sum_lutc_input="qfbk";
// @15:129
  cyclone_lcell tmr_addr_31__Z (
        .combout(irq_addr_o_6_a[31]),
        .clk(clk_c),
        .dataa(key1_addr[31]),
        .datab(cmd[31]),
        .datac(r32_o_31),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(VCC),
        .ena(tmr_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_31__Z.operation_mode="normal";
defparam tmr_addr_31__Z.output_mode="comb_only";
defparam tmr_addr_31__Z.lut_mask="1d55";
defparam tmr_addr_31__Z.synch_mode="on";
defparam tmr_addr_31__Z.sum_lutc_input="qfbk";
// @15:200
  cyclone_lcell irq_addr_o_31__Z (
        .regout(irq_addr_o_31),
        .clk(clk_c),
        .dataa(key2_addr[31]),
        .datab(irq_addr_o_6_a[31]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_31__Z.operation_mode="normal";
defparam irq_addr_o_31__Z.output_mode="reg_only";
defparam irq_addr_o_31__Z.lut_mask="a3a3";
defparam irq_addr_o_31__Z.synch_mode="off";
defparam irq_addr_o_31__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_30__Z (
        .regout(irq_addr_o_30),
        .clk(clk_c),
        .dataa(key2_addr[30]),
        .datab(irq_addr_o_6_a[30]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_30__Z.operation_mode="normal";
defparam irq_addr_o_30__Z.output_mode="reg_only";
defparam irq_addr_o_30__Z.lut_mask="a3a3";
defparam irq_addr_o_30__Z.synch_mode="off";
defparam irq_addr_o_30__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_29__Z (
        .regout(irq_addr_o_29),
        .clk(clk_c),
        .dataa(key2_addr[29]),
        .datab(irq_addr_o_6_a[29]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_29__Z.operation_mode="normal";
defparam irq_addr_o_29__Z.output_mode="reg_only";
defparam irq_addr_o_29__Z.lut_mask="a3a3";
defparam irq_addr_o_29__Z.synch_mode="off";
defparam irq_addr_o_29__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_28__Z (
        .regout(irq_addr_o_28),
        .clk(clk_c),
        .dataa(key2_addr[28]),
        .datab(irq_addr_o_6_a[28]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_28__Z.operation_mode="normal";
defparam irq_addr_o_28__Z.output_mode="reg_only";
defparam irq_addr_o_28__Z.lut_mask="a3a3";
defparam irq_addr_o_28__Z.synch_mode="off";
defparam irq_addr_o_28__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_27__Z (
        .regout(irq_addr_o_27),
        .clk(clk_c),
        .dataa(key2_addr[27]),
        .datab(irq_addr_o_6_a[27]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_27__Z.operation_mode="normal";
defparam irq_addr_o_27__Z.output_mode="reg_only";
defparam irq_addr_o_27__Z.lut_mask="a3a3";
defparam irq_addr_o_27__Z.synch_mode="off";
defparam irq_addr_o_27__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_26__Z (
        .regout(irq_addr_o_26),
        .clk(clk_c),
        .dataa(key2_addr[26]),
        .datab(irq_addr_o_6_a[26]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_26__Z.operation_mode="normal";
defparam irq_addr_o_26__Z.output_mode="reg_only";
defparam irq_addr_o_26__Z.lut_mask="a3a3";
defparam irq_addr_o_26__Z.synch_mode="off";
defparam irq_addr_o_26__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_25__Z (
        .regout(irq_addr_o_25),
        .clk(clk_c),
        .dataa(key2_addr[25]),
        .datab(irq_addr_o_6_a[25]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_25__Z.operation_mode="normal";
defparam irq_addr_o_25__Z.output_mode="reg_only";
defparam irq_addr_o_25__Z.lut_mask="a3a3";
defparam irq_addr_o_25__Z.synch_mode="off";
defparam irq_addr_o_25__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_24__Z (
        .regout(irq_addr_o_24),
        .clk(clk_c),
        .dataa(key2_addr[24]),
        .datab(irq_addr_o_6_a[24]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_24__Z.operation_mode="normal";
defparam irq_addr_o_24__Z.output_mode="reg_only";
defparam irq_addr_o_24__Z.lut_mask="a3a3";
defparam irq_addr_o_24__Z.synch_mode="off";
defparam irq_addr_o_24__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_23__Z (
        .regout(irq_addr_o_23),
        .clk(clk_c),
        .dataa(key2_addr[23]),
        .datab(irq_addr_o_6_a[23]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_23__Z.operation_mode="normal";
defparam irq_addr_o_23__Z.output_mode="reg_only";
defparam irq_addr_o_23__Z.lut_mask="a3a3";
defparam irq_addr_o_23__Z.synch_mode="off";
defparam irq_addr_o_23__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_22__Z (
        .regout(irq_addr_o_22),
        .clk(clk_c),
        .dataa(key2_addr[22]),
        .datab(irq_addr_o_6_a[22]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_22__Z.operation_mode="normal";
defparam irq_addr_o_22__Z.output_mode="reg_only";
defparam irq_addr_o_22__Z.lut_mask="a3a3";
defparam irq_addr_o_22__Z.synch_mode="off";
defparam irq_addr_o_22__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_21__Z (
        .regout(irq_addr_o_21),
        .clk(clk_c),
        .dataa(key2_addr[21]),
        .datab(irq_addr_o_6_a[21]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_21__Z.operation_mode="normal";
defparam irq_addr_o_21__Z.output_mode="reg_only";
defparam irq_addr_o_21__Z.lut_mask="a3a3";
defparam irq_addr_o_21__Z.synch_mode="off";
defparam irq_addr_o_21__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_20__Z (
        .regout(irq_addr_o_20),
        .clk(clk_c),
        .dataa(key2_addr[20]),
        .datab(irq_addr_o_6_a[20]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_20__Z.operation_mode="normal";
defparam irq_addr_o_20__Z.output_mode="reg_only";
defparam irq_addr_o_20__Z.lut_mask="a3a3";
defparam irq_addr_o_20__Z.synch_mode="off";
defparam irq_addr_o_20__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_19__Z (
        .regout(irq_addr_o_19),
        .clk(clk_c),
        .dataa(key2_addr[19]),
        .datab(irq_addr_o_6_a[19]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_19__Z.operation_mode="normal";
defparam irq_addr_o_19__Z.output_mode="reg_only";
defparam irq_addr_o_19__Z.lut_mask="a3a3";
defparam irq_addr_o_19__Z.synch_mode="off";
defparam irq_addr_o_19__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_18__Z (
        .regout(irq_addr_o_18),
        .clk(clk_c),
        .dataa(key2_addr[18]),
        .datab(irq_addr_o_6_a[18]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_18__Z.operation_mode="normal";
defparam irq_addr_o_18__Z.output_mode="reg_only";
defparam irq_addr_o_18__Z.lut_mask="a3a3";
defparam irq_addr_o_18__Z.synch_mode="off";
defparam irq_addr_o_18__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_17__Z (
        .regout(irq_addr_o_17),
        .clk(clk_c),
        .dataa(key2_addr[17]),
        .datab(irq_addr_o_6_a[17]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_17__Z.operation_mode="normal";
defparam irq_addr_o_17__Z.output_mode="reg_only";
defparam irq_addr_o_17__Z.lut_mask="a3a3";
defparam irq_addr_o_17__Z.synch_mode="off";
defparam irq_addr_o_17__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_16__Z (
        .regout(irq_addr_o_16),
        .clk(clk_c),
        .dataa(key2_addr[16]),
        .datab(irq_addr_o_6_a[16]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_16__Z.operation_mode="normal";
defparam irq_addr_o_16__Z.output_mode="reg_only";
defparam irq_addr_o_16__Z.lut_mask="a3a3";
defparam irq_addr_o_16__Z.synch_mode="off";
defparam irq_addr_o_16__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_15__Z (
        .regout(irq_addr_o_15),
        .clk(clk_c),
        .dataa(key2_addr[15]),
        .datab(irq_addr_o_6_a[15]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_15__Z.operation_mode="normal";
defparam irq_addr_o_15__Z.output_mode="reg_only";
defparam irq_addr_o_15__Z.lut_mask="a3a3";
defparam irq_addr_o_15__Z.synch_mode="off";
defparam irq_addr_o_15__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_14__Z (
        .regout(irq_addr_o_14),
        .clk(clk_c),
        .dataa(key2_addr[14]),
        .datab(irq_addr_o_6_a[14]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_14__Z.operation_mode="normal";
defparam irq_addr_o_14__Z.output_mode="reg_only";
defparam irq_addr_o_14__Z.lut_mask="a3a3";
defparam irq_addr_o_14__Z.synch_mode="off";
defparam irq_addr_o_14__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_13__Z (
        .regout(irq_addr_o_13),
        .clk(clk_c),
        .dataa(key2_addr[13]),
        .datab(irq_addr_o_6_a[13]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_13__Z.operation_mode="normal";
defparam irq_addr_o_13__Z.output_mode="reg_only";
defparam irq_addr_o_13__Z.lut_mask="a3a3";
defparam irq_addr_o_13__Z.synch_mode="off";
defparam irq_addr_o_13__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_12__Z (
        .regout(irq_addr_o_12),
        .clk(clk_c),
        .dataa(key2_addr[12]),
        .datab(irq_addr_o_6_a[12]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_12__Z.operation_mode="normal";
defparam irq_addr_o_12__Z.output_mode="reg_only";
defparam irq_addr_o_12__Z.lut_mask="a3a3";
defparam irq_addr_o_12__Z.synch_mode="off";
defparam irq_addr_o_12__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_11__Z (
        .regout(irq_addr_o_11),
        .clk(clk_c),
        .dataa(key2_addr[11]),
        .datab(irq_addr_o_6_a[11]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_11__Z.operation_mode="normal";
defparam irq_addr_o_11__Z.output_mode="reg_only";
defparam irq_addr_o_11__Z.lut_mask="a3a3";
defparam irq_addr_o_11__Z.synch_mode="off";
defparam irq_addr_o_11__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_10__Z (
        .regout(irq_addr_o_10),
        .clk(clk_c),
        .dataa(key2_addr[10]),
        .datab(irq_addr_o_6_a[10]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_10__Z.operation_mode="normal";
defparam irq_addr_o_10__Z.output_mode="reg_only";
defparam irq_addr_o_10__Z.lut_mask="a3a3";
defparam irq_addr_o_10__Z.synch_mode="off";
defparam irq_addr_o_10__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_9__Z (
        .regout(irq_addr_o_9),
        .clk(clk_c),
        .dataa(key2_addr[9]),
        .datab(irq_addr_o_6_a[9]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_9__Z.operation_mode="normal";
defparam irq_addr_o_9__Z.output_mode="reg_only";
defparam irq_addr_o_9__Z.lut_mask="a3a3";
defparam irq_addr_o_9__Z.synch_mode="off";
defparam irq_addr_o_9__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_8__Z (
        .regout(irq_addr_o_8),
        .clk(clk_c),
        .dataa(key2_addr[8]),
        .datab(irq_addr_o_6_a[8]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_8__Z.operation_mode="normal";
defparam irq_addr_o_8__Z.output_mode="reg_only";
defparam irq_addr_o_8__Z.lut_mask="a3a3";
defparam irq_addr_o_8__Z.synch_mode="off";
defparam irq_addr_o_8__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_7__Z (
        .regout(irq_addr_o_7),
        .clk(clk_c),
        .dataa(key2_addr[7]),
        .datab(irq_addr_o_6_a[7]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_7__Z.operation_mode="normal";
defparam irq_addr_o_7__Z.output_mode="reg_only";
defparam irq_addr_o_7__Z.lut_mask="a3a3";
defparam irq_addr_o_7__Z.synch_mode="off";
defparam irq_addr_o_7__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_6__Z (
        .regout(irq_addr_o_6),
        .clk(clk_c),
        .dataa(key2_addr[6]),
        .datab(irq_addr_o_6_a[6]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_6__Z.operation_mode="normal";
defparam irq_addr_o_6__Z.output_mode="reg_only";
defparam irq_addr_o_6__Z.lut_mask="a3a3";
defparam irq_addr_o_6__Z.synch_mode="off";
defparam irq_addr_o_6__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_5__Z (
        .regout(irq_addr_o_5),
        .clk(clk_c),
        .dataa(key2_addr[5]),
        .datab(irq_addr_o_6_a[5]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_5__Z.operation_mode="normal";
defparam irq_addr_o_5__Z.output_mode="reg_only";
defparam irq_addr_o_5__Z.lut_mask="a3a3";
defparam irq_addr_o_5__Z.synch_mode="off";
defparam irq_addr_o_5__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_4__Z (
        .regout(irq_addr_o_4),
        .clk(clk_c),
        .dataa(key2_addr[4]),
        .datab(irq_addr_o_6_a[4]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_4__Z.operation_mode="normal";
defparam irq_addr_o_4__Z.output_mode="reg_only";
defparam irq_addr_o_4__Z.lut_mask="a3a3";
defparam irq_addr_o_4__Z.synch_mode="off";
defparam irq_addr_o_4__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_3__Z (
        .regout(irq_addr_o_3),
        .clk(clk_c),
        .dataa(key2_addr[3]),
        .datab(irq_addr_o_6_a[3]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_3__Z.operation_mode="normal";
defparam irq_addr_o_3__Z.output_mode="reg_only";
defparam irq_addr_o_3__Z.lut_mask="a3a3";
defparam irq_addr_o_3__Z.synch_mode="off";
defparam irq_addr_o_3__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_2__Z (
        .regout(irq_addr_o_2),
        .clk(clk_c),
        .dataa(key2_addr[2]),
        .datab(irq_addr_o_6_a[2]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_2__Z.operation_mode="normal";
defparam irq_addr_o_2__Z.output_mode="reg_only";
defparam irq_addr_o_2__Z.lut_mask="a3a3";
defparam irq_addr_o_2__Z.synch_mode="off";
defparam irq_addr_o_2__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_1__Z (
        .regout(irq_addr_o_1),
        .clk(clk_c),
        .dataa(key2_addr[1]),
        .datab(irq_addr_o_6_a[1]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_1__Z.operation_mode="normal";
defparam irq_addr_o_1__Z.output_mode="reg_only";
defparam irq_addr_o_1__Z.lut_mask="a3a3";
defparam irq_addr_o_1__Z.synch_mode="off";
defparam irq_addr_o_1__Z.sum_lutc_input="datac";
// @15:200
  cyclone_lcell irq_addr_o_0__Z (
        .regout(irq_addr_o_0),
        .clk(clk_c),
        .dataa(key2_addr[0]),
        .datab(irq_addr_o_6_a[0]),
        .datac(irq_addr_o_6_sn_m1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_0__Z.operation_mode="normal";
defparam irq_addr_o_0__Z.output_mode="reg_only";
defparam irq_addr_o_0__Z.lut_mask="a3a3";
defparam irq_addr_o_0__Z.synch_mode="off";
defparam irq_addr_o_0__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_31__Z (
        .regout(key2_addr[31]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_31__Z.operation_mode="normal";
defparam key2_addr_31__Z.output_mode="reg_only";
defparam key2_addr_31__Z.lut_mask="ff00";
defparam key2_addr_31__Z.synch_mode="off";
defparam key2_addr_31__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_30__Z (
        .regout(key2_addr[30]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_30__Z.operation_mode="normal";
defparam key2_addr_30__Z.output_mode="reg_only";
defparam key2_addr_30__Z.lut_mask="ff00";
defparam key2_addr_30__Z.synch_mode="off";
defparam key2_addr_30__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_29__Z (
        .regout(key2_addr[29]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_29__Z.operation_mode="normal";
defparam key2_addr_29__Z.output_mode="reg_only";
defparam key2_addr_29__Z.lut_mask="ff00";
defparam key2_addr_29__Z.synch_mode="off";
defparam key2_addr_29__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_28__Z (
        .regout(key2_addr[28]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_28__Z.operation_mode="normal";
defparam key2_addr_28__Z.output_mode="reg_only";
defparam key2_addr_28__Z.lut_mask="ff00";
defparam key2_addr_28__Z.synch_mode="off";
defparam key2_addr_28__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_27__Z (
        .regout(key2_addr[27]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_27__Z.operation_mode="normal";
defparam key2_addr_27__Z.output_mode="reg_only";
defparam key2_addr_27__Z.lut_mask="ff00";
defparam key2_addr_27__Z.synch_mode="off";
defparam key2_addr_27__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_26__Z (
        .regout(key2_addr[26]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_26__Z.operation_mode="normal";
defparam key2_addr_26__Z.output_mode="reg_only";
defparam key2_addr_26__Z.lut_mask="ff00";
defparam key2_addr_26__Z.synch_mode="off";
defparam key2_addr_26__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_25__Z (
        .regout(key2_addr[25]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_25__Z.operation_mode="normal";
defparam key2_addr_25__Z.output_mode="reg_only";
defparam key2_addr_25__Z.lut_mask="ff00";
defparam key2_addr_25__Z.synch_mode="off";
defparam key2_addr_25__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_24__Z (
        .regout(key2_addr[24]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_24__Z.operation_mode="normal";
defparam key2_addr_24__Z.output_mode="reg_only";
defparam key2_addr_24__Z.lut_mask="ff00";
defparam key2_addr_24__Z.synch_mode="off";
defparam key2_addr_24__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_23__Z (
        .regout(key2_addr[23]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_23__Z.operation_mode="normal";
defparam key2_addr_23__Z.output_mode="reg_only";
defparam key2_addr_23__Z.lut_mask="ff00";
defparam key2_addr_23__Z.synch_mode="off";
defparam key2_addr_23__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_22__Z (
        .regout(key2_addr[22]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_22__Z.operation_mode="normal";
defparam key2_addr_22__Z.output_mode="reg_only";
defparam key2_addr_22__Z.lut_mask="ff00";
defparam key2_addr_22__Z.synch_mode="off";
defparam key2_addr_22__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_21__Z (
        .regout(key2_addr[21]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_21__Z.operation_mode="normal";
defparam key2_addr_21__Z.output_mode="reg_only";
defparam key2_addr_21__Z.lut_mask="ff00";
defparam key2_addr_21__Z.synch_mode="off";
defparam key2_addr_21__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_20__Z (
        .regout(key2_addr[20]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_20__Z.operation_mode="normal";
defparam key2_addr_20__Z.output_mode="reg_only";
defparam key2_addr_20__Z.lut_mask="ff00";
defparam key2_addr_20__Z.synch_mode="off";
defparam key2_addr_20__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_19__Z (
        .regout(key2_addr[19]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_19__Z.operation_mode="normal";
defparam key2_addr_19__Z.output_mode="reg_only";
defparam key2_addr_19__Z.lut_mask="ff00";
defparam key2_addr_19__Z.synch_mode="off";
defparam key2_addr_19__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_18__Z (
        .regout(key2_addr[18]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_18__Z.operation_mode="normal";
defparam key2_addr_18__Z.output_mode="reg_only";
defparam key2_addr_18__Z.lut_mask="ff00";
defparam key2_addr_18__Z.synch_mode="off";
defparam key2_addr_18__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_17__Z (
        .regout(key2_addr[17]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_17__Z.operation_mode="normal";
defparam key2_addr_17__Z.output_mode="reg_only";
defparam key2_addr_17__Z.lut_mask="ff00";
defparam key2_addr_17__Z.synch_mode="off";
defparam key2_addr_17__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_16__Z (
        .regout(key2_addr[16]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_16__Z.operation_mode="normal";
defparam key2_addr_16__Z.output_mode="reg_only";
defparam key2_addr_16__Z.lut_mask="ff00";
defparam key2_addr_16__Z.synch_mode="off";
defparam key2_addr_16__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_15__Z (
        .regout(key2_addr[15]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_15__Z.operation_mode="normal";
defparam key2_addr_15__Z.output_mode="reg_only";
defparam key2_addr_15__Z.lut_mask="ff00";
defparam key2_addr_15__Z.synch_mode="off";
defparam key2_addr_15__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_14__Z (
        .regout(key2_addr[14]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_14__Z.operation_mode="normal";
defparam key2_addr_14__Z.output_mode="reg_only";
defparam key2_addr_14__Z.lut_mask="ff00";
defparam key2_addr_14__Z.synch_mode="off";
defparam key2_addr_14__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_13__Z (
        .regout(key2_addr[13]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_13__Z.operation_mode="normal";
defparam key2_addr_13__Z.output_mode="reg_only";
defparam key2_addr_13__Z.lut_mask="ff00";
defparam key2_addr_13__Z.synch_mode="off";
defparam key2_addr_13__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_12__Z (
        .regout(key2_addr[12]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_12__Z.operation_mode="normal";
defparam key2_addr_12__Z.output_mode="reg_only";
defparam key2_addr_12__Z.lut_mask="ff00";
defparam key2_addr_12__Z.synch_mode="off";
defparam key2_addr_12__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_11__Z (
        .regout(key2_addr[11]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_11__Z.operation_mode="normal";
defparam key2_addr_11__Z.output_mode="reg_only";
defparam key2_addr_11__Z.lut_mask="ff00";
defparam key2_addr_11__Z.synch_mode="off";
defparam key2_addr_11__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_10__Z (
        .regout(key2_addr[10]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_10__Z.operation_mode="normal";
defparam key2_addr_10__Z.output_mode="reg_only";
defparam key2_addr_10__Z.lut_mask="ff00";
defparam key2_addr_10__Z.synch_mode="off";
defparam key2_addr_10__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_9__Z (
        .regout(key2_addr[9]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_9__Z.operation_mode="normal";
defparam key2_addr_9__Z.output_mode="reg_only";
defparam key2_addr_9__Z.lut_mask="ff00";
defparam key2_addr_9__Z.synch_mode="off";
defparam key2_addr_9__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_8__Z (
        .regout(key2_addr[8]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_8__Z.operation_mode="normal";
defparam key2_addr_8__Z.output_mode="reg_only";
defparam key2_addr_8__Z.lut_mask="ff00";
defparam key2_addr_8__Z.synch_mode="off";
defparam key2_addr_8__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_7__Z (
        .regout(key2_addr[7]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_7__Z.operation_mode="normal";
defparam key2_addr_7__Z.output_mode="reg_only";
defparam key2_addr_7__Z.lut_mask="ff00";
defparam key2_addr_7__Z.synch_mode="off";
defparam key2_addr_7__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_6__Z (
        .regout(key2_addr[6]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_6__Z.operation_mode="normal";
defparam key2_addr_6__Z.output_mode="reg_only";
defparam key2_addr_6__Z.lut_mask="ff00";
defparam key2_addr_6__Z.synch_mode="off";
defparam key2_addr_6__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_5__Z (
        .regout(key2_addr[5]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_5__Z.operation_mode="normal";
defparam key2_addr_5__Z.output_mode="reg_only";
defparam key2_addr_5__Z.lut_mask="ff00";
defparam key2_addr_5__Z.synch_mode="off";
defparam key2_addr_5__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_4__Z (
        .regout(key2_addr[4]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_4__Z.operation_mode="normal";
defparam key2_addr_4__Z.output_mode="reg_only";
defparam key2_addr_4__Z.lut_mask="ff00";
defparam key2_addr_4__Z.synch_mode="off";
defparam key2_addr_4__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_3__Z (
        .regout(key2_addr[3]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_3__Z.operation_mode="normal";
defparam key2_addr_3__Z.output_mode="reg_only";
defparam key2_addr_3__Z.lut_mask="ff00";
defparam key2_addr_3__Z.synch_mode="off";
defparam key2_addr_3__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_2__Z (
        .regout(key2_addr[2]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_2__Z.operation_mode="normal";
defparam key2_addr_2__Z.output_mode="reg_only";
defparam key2_addr_2__Z.lut_mask="ff00";
defparam key2_addr_2__Z.synch_mode="off";
defparam key2_addr_2__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_1__Z (
        .regout(key2_addr[1]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_1__Z.operation_mode="normal";
defparam key2_addr_1__Z.output_mode="reg_only";
defparam key2_addr_1__Z.lut_mask="ff00";
defparam key2_addr_1__Z.synch_mode="off";
defparam key2_addr_1__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key2_addr_0__Z (
        .regout(key2_addr[0]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key2_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_0__Z.operation_mode="normal";
defparam key2_addr_0__Z.output_mode="reg_only";
defparam key2_addr_0__Z.lut_mask="ff00";
defparam key2_addr_0__Z.synch_mode="off";
defparam key2_addr_0__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_31__Z (
        .regout(key1_addr[31]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_31__Z.operation_mode="normal";
defparam key1_addr_31__Z.output_mode="reg_only";
defparam key1_addr_31__Z.lut_mask="ff00";
defparam key1_addr_31__Z.synch_mode="off";
defparam key1_addr_31__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_30__Z (
        .regout(key1_addr[30]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_30__Z.operation_mode="normal";
defparam key1_addr_30__Z.output_mode="reg_only";
defparam key1_addr_30__Z.lut_mask="ff00";
defparam key1_addr_30__Z.synch_mode="off";
defparam key1_addr_30__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_29__Z (
        .regout(key1_addr[29]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_29__Z.operation_mode="normal";
defparam key1_addr_29__Z.output_mode="reg_only";
defparam key1_addr_29__Z.lut_mask="ff00";
defparam key1_addr_29__Z.synch_mode="off";
defparam key1_addr_29__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_28__Z (
        .regout(key1_addr[28]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_28__Z.operation_mode="normal";
defparam key1_addr_28__Z.output_mode="reg_only";
defparam key1_addr_28__Z.lut_mask="ff00";
defparam key1_addr_28__Z.synch_mode="off";
defparam key1_addr_28__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_27__Z (
        .regout(key1_addr[27]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_27__Z.operation_mode="normal";
defparam key1_addr_27__Z.output_mode="reg_only";
defparam key1_addr_27__Z.lut_mask="ff00";
defparam key1_addr_27__Z.synch_mode="off";
defparam key1_addr_27__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_26__Z (
        .regout(key1_addr[26]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_26__Z.operation_mode="normal";
defparam key1_addr_26__Z.output_mode="reg_only";
defparam key1_addr_26__Z.lut_mask="ff00";
defparam key1_addr_26__Z.synch_mode="off";
defparam key1_addr_26__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_25__Z (
        .regout(key1_addr[25]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_25__Z.operation_mode="normal";
defparam key1_addr_25__Z.output_mode="reg_only";
defparam key1_addr_25__Z.lut_mask="ff00";
defparam key1_addr_25__Z.synch_mode="off";
defparam key1_addr_25__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_24__Z (
        .regout(key1_addr[24]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_24__Z.operation_mode="normal";
defparam key1_addr_24__Z.output_mode="reg_only";
defparam key1_addr_24__Z.lut_mask="ff00";
defparam key1_addr_24__Z.synch_mode="off";
defparam key1_addr_24__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_23__Z (
        .regout(key1_addr[23]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_23__Z.operation_mode="normal";
defparam key1_addr_23__Z.output_mode="reg_only";
defparam key1_addr_23__Z.lut_mask="ff00";
defparam key1_addr_23__Z.synch_mode="off";
defparam key1_addr_23__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_22__Z (
        .regout(key1_addr[22]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_22__Z.operation_mode="normal";
defparam key1_addr_22__Z.output_mode="reg_only";
defparam key1_addr_22__Z.lut_mask="ff00";
defparam key1_addr_22__Z.synch_mode="off";
defparam key1_addr_22__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_21__Z (
        .regout(key1_addr[21]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_21__Z.operation_mode="normal";
defparam key1_addr_21__Z.output_mode="reg_only";
defparam key1_addr_21__Z.lut_mask="ff00";
defparam key1_addr_21__Z.synch_mode="off";
defparam key1_addr_21__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_20__Z (
        .regout(key1_addr[20]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_20__Z.operation_mode="normal";
defparam key1_addr_20__Z.output_mode="reg_only";
defparam key1_addr_20__Z.lut_mask="ff00";
defparam key1_addr_20__Z.synch_mode="off";
defparam key1_addr_20__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_19__Z (
        .regout(key1_addr[19]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_19__Z.operation_mode="normal";
defparam key1_addr_19__Z.output_mode="reg_only";
defparam key1_addr_19__Z.lut_mask="ff00";
defparam key1_addr_19__Z.synch_mode="off";
defparam key1_addr_19__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_18__Z (
        .regout(key1_addr[18]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_18__Z.operation_mode="normal";
defparam key1_addr_18__Z.output_mode="reg_only";
defparam key1_addr_18__Z.lut_mask="ff00";
defparam key1_addr_18__Z.synch_mode="off";
defparam key1_addr_18__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_17__Z (
        .regout(key1_addr[17]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_17__Z.operation_mode="normal";
defparam key1_addr_17__Z.output_mode="reg_only";
defparam key1_addr_17__Z.lut_mask="ff00";
defparam key1_addr_17__Z.synch_mode="off";
defparam key1_addr_17__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_16__Z (
        .regout(key1_addr[16]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_16__Z.operation_mode="normal";
defparam key1_addr_16__Z.output_mode="reg_only";
defparam key1_addr_16__Z.lut_mask="ff00";
defparam key1_addr_16__Z.synch_mode="off";
defparam key1_addr_16__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_15__Z (
        .regout(key1_addr[15]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_15__Z.operation_mode="normal";
defparam key1_addr_15__Z.output_mode="reg_only";
defparam key1_addr_15__Z.lut_mask="ff00";
defparam key1_addr_15__Z.synch_mode="off";
defparam key1_addr_15__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_14__Z (
        .regout(key1_addr[14]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_14),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_14__Z.operation_mode="normal";
defparam key1_addr_14__Z.output_mode="reg_only";
defparam key1_addr_14__Z.lut_mask="ff00";
defparam key1_addr_14__Z.synch_mode="off";
defparam key1_addr_14__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_13__Z (
        .regout(key1_addr[13]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_13__Z.operation_mode="normal";
defparam key1_addr_13__Z.output_mode="reg_only";
defparam key1_addr_13__Z.lut_mask="ff00";
defparam key1_addr_13__Z.synch_mode="off";
defparam key1_addr_13__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_12__Z (
        .regout(key1_addr[12]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_12__Z.operation_mode="normal";
defparam key1_addr_12__Z.output_mode="reg_only";
defparam key1_addr_12__Z.lut_mask="ff00";
defparam key1_addr_12__Z.synch_mode="off";
defparam key1_addr_12__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_11__Z (
        .regout(key1_addr[11]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_11__Z.operation_mode="normal";
defparam key1_addr_11__Z.output_mode="reg_only";
defparam key1_addr_11__Z.lut_mask="ff00";
defparam key1_addr_11__Z.synch_mode="off";
defparam key1_addr_11__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_10__Z (
        .regout(key1_addr[10]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_10),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_10__Z.operation_mode="normal";
defparam key1_addr_10__Z.output_mode="reg_only";
defparam key1_addr_10__Z.lut_mask="ff00";
defparam key1_addr_10__Z.synch_mode="off";
defparam key1_addr_10__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_9__Z (
        .regout(key1_addr[9]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_9),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_9__Z.operation_mode="normal";
defparam key1_addr_9__Z.output_mode="reg_only";
defparam key1_addr_9__Z.lut_mask="ff00";
defparam key1_addr_9__Z.synch_mode="off";
defparam key1_addr_9__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_8__Z (
        .regout(key1_addr[8]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_8),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_8__Z.operation_mode="normal";
defparam key1_addr_8__Z.output_mode="reg_only";
defparam key1_addr_8__Z.lut_mask="ff00";
defparam key1_addr_8__Z.synch_mode="off";
defparam key1_addr_8__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_7__Z (
        .regout(key1_addr[7]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_7__Z.operation_mode="normal";
defparam key1_addr_7__Z.output_mode="reg_only";
defparam key1_addr_7__Z.lut_mask="ff00";
defparam key1_addr_7__Z.synch_mode="off";
defparam key1_addr_7__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_6__Z (
        .regout(key1_addr[6]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_6__Z.operation_mode="normal";
defparam key1_addr_6__Z.output_mode="reg_only";
defparam key1_addr_6__Z.lut_mask="ff00";
defparam key1_addr_6__Z.synch_mode="off";
defparam key1_addr_6__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_5__Z (
        .regout(key1_addr[5]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_5__Z.operation_mode="normal";
defparam key1_addr_5__Z.output_mode="reg_only";
defparam key1_addr_5__Z.lut_mask="ff00";
defparam key1_addr_5__Z.synch_mode="off";
defparam key1_addr_5__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_4__Z (
        .regout(key1_addr[4]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_4__Z.operation_mode="normal";
defparam key1_addr_4__Z.output_mode="reg_only";
defparam key1_addr_4__Z.lut_mask="ff00";
defparam key1_addr_4__Z.synch_mode="off";
defparam key1_addr_4__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_3__Z (
        .regout(key1_addr[3]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_3__Z.operation_mode="normal";
defparam key1_addr_3__Z.output_mode="reg_only";
defparam key1_addr_3__Z.lut_mask="ff00";
defparam key1_addr_3__Z.synch_mode="off";
defparam key1_addr_3__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_2__Z (
        .regout(key1_addr[2]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_2__Z.operation_mode="normal";
defparam key1_addr_2__Z.output_mode="reg_only";
defparam key1_addr_2__Z.lut_mask="ff00";
defparam key1_addr_2__Z.synch_mode="off";
defparam key1_addr_2__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_1__Z (
        .regout(key1_addr[1]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_1__Z.operation_mode="normal";
defparam key1_addr_1__Z.output_mode="reg_only";
defparam key1_addr_1__Z.lut_mask="ff00";
defparam key1_addr_1__Z.synch_mode="off";
defparam key1_addr_1__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell key1_addr_0__Z (
        .regout(key1_addr[0]),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(key1_addr_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_0__Z.operation_mode="normal";
defparam key1_addr_0__Z.output_mode="reg_only";
defparam key1_addr_0__Z.lut_mask="ff00";
defparam key1_addr_0__Z.synch_mode="off";
defparam key1_addr_0__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell lcd_data_7__Z (
        .regout(lcd_data_7),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(lcd_data_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_7__Z.operation_mode="normal";
defparam lcd_data_7__Z.output_mode="reg_only";
defparam lcd_data_7__Z.lut_mask="ff00";
defparam lcd_data_7__Z.synch_mode="off";
defparam lcd_data_7__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell lcd_data_6__Z (
        .regout(lcd_data_6),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_6),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(lcd_data_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_6__Z.operation_mode="normal";
defparam lcd_data_6__Z.output_mode="reg_only";
defparam lcd_data_6__Z.lut_mask="ff00";
defparam lcd_data_6__Z.synch_mode="off";
defparam lcd_data_6__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell lcd_data_5__Z (
        .regout(lcd_data_5),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(lcd_data_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_5__Z.operation_mode="normal";
defparam lcd_data_5__Z.output_mode="reg_only";
defparam lcd_data_5__Z.lut_mask="ff00";
defparam lcd_data_5__Z.synch_mode="off";
defparam lcd_data_5__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell lcd_data_4__Z (
        .regout(lcd_data_4),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(lcd_data_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_4__Z.operation_mode="normal";
defparam lcd_data_4__Z.output_mode="reg_only";
defparam lcd_data_4__Z.lut_mask="ff00";
defparam lcd_data_4__Z.synch_mode="off";
defparam lcd_data_4__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell lcd_data_3__Z (
        .regout(lcd_data_3),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(lcd_data_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_3__Z.operation_mode="normal";
defparam lcd_data_3__Z.output_mode="reg_only";
defparam lcd_data_3__Z.lut_mask="ff00";
defparam lcd_data_3__Z.synch_mode="off";
defparam lcd_data_3__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell lcd_data_2__Z (
        .regout(lcd_data_2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(lcd_data_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_2__Z.operation_mode="normal";
defparam lcd_data_2__Z.output_mode="reg_only";
defparam lcd_data_2__Z.lut_mask="ff00";
defparam lcd_data_2__Z.synch_mode="off";
defparam lcd_data_2__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell lcd_data_1__Z (
        .regout(lcd_data_1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(lcd_data_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_1__Z.operation_mode="normal";
defparam lcd_data_1__Z.output_mode="reg_only";
defparam lcd_data_1__Z.lut_mask="ff00";
defparam lcd_data_1__Z.synch_mode="off";
defparam lcd_data_1__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell lcd_data_0__Z (
        .regout(lcd_data_0),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r32_o_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(lcd_data_0_sqmuxa_0_a2),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_0__Z.operation_mode="normal";
defparam lcd_data_0__Z.output_mode="reg_only";
defparam lcd_data_0__Z.lut_mask="ff00";
defparam lcd_data_0__Z.synch_mode="off";
defparam lcd_data_0__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_31__Z (
        .regout(dout_31),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[31]),
        .datac(cmd[31]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_31__Z.operation_mode="normal";
defparam dout_31__Z.output_mode="reg_only";
defparam dout_31__Z.lut_mask="ccf0";
defparam dout_31__Z.synch_mode="on";
defparam dout_31__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_30__Z (
        .regout(dout_30),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[30]),
        .datac(cmd[30]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_30__Z.operation_mode="normal";
defparam dout_30__Z.output_mode="reg_only";
defparam dout_30__Z.lut_mask="ccf0";
defparam dout_30__Z.synch_mode="on";
defparam dout_30__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_29__Z (
        .regout(dout_29),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[29]),
        .datac(cmd[29]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_29__Z.operation_mode="normal";
defparam dout_29__Z.output_mode="reg_only";
defparam dout_29__Z.lut_mask="ccf0";
defparam dout_29__Z.synch_mode="on";
defparam dout_29__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_28__Z (
        .regout(dout_28),
        .clk(clk_c),
        .dataa(cntr[28]),
        .datab(cmd[28]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_28__Z.operation_mode="normal";
defparam dout_28__Z.output_mode="reg_only";
defparam dout_28__Z.lut_mask="ac00";
defparam dout_28__Z.synch_mode="off";
defparam dout_28__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_27__Z (
        .regout(dout_27),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[27]),
        .datac(cmd[27]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_27__Z.operation_mode="normal";
defparam dout_27__Z.output_mode="reg_only";
defparam dout_27__Z.lut_mask="ccf0";
defparam dout_27__Z.synch_mode="on";
defparam dout_27__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_26__Z (
        .regout(dout_26),
        .clk(clk_c),
        .dataa(cntr[26]),
        .datab(cmd[26]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_26__Z.operation_mode="normal";
defparam dout_26__Z.output_mode="reg_only";
defparam dout_26__Z.lut_mask="ac00";
defparam dout_26__Z.synch_mode="off";
defparam dout_26__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_25__Z (
        .regout(dout_25),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[25]),
        .datac(cmd[25]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_25__Z.operation_mode="normal";
defparam dout_25__Z.output_mode="reg_only";
defparam dout_25__Z.lut_mask="ccf0";
defparam dout_25__Z.synch_mode="on";
defparam dout_25__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_24__Z (
        .regout(dout_24),
        .clk(clk_c),
        .dataa(cntr[24]),
        .datab(cmd[24]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_24__Z.operation_mode="normal";
defparam dout_24__Z.output_mode="reg_only";
defparam dout_24__Z.lut_mask="ac00";
defparam dout_24__Z.synch_mode="off";
defparam dout_24__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_23__Z (
        .regout(dout_23),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[23]),
        .datac(cmd[23]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_23__Z.operation_mode="normal";
defparam dout_23__Z.output_mode="reg_only";
defparam dout_23__Z.lut_mask="ccf0";
defparam dout_23__Z.synch_mode="on";
defparam dout_23__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_22__Z (
        .regout(dout_22),
        .clk(clk_c),
        .dataa(cntr[22]),
        .datab(cmd[22]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_22__Z.operation_mode="normal";
defparam dout_22__Z.output_mode="reg_only";
defparam dout_22__Z.lut_mask="ac00";
defparam dout_22__Z.synch_mode="off";
defparam dout_22__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_21__Z (
        .regout(dout_21),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[21]),
        .datac(cmd[21]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_21__Z.operation_mode="normal";
defparam dout_21__Z.output_mode="reg_only";
defparam dout_21__Z.lut_mask="ccf0";
defparam dout_21__Z.synch_mode="on";
defparam dout_21__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_20__Z (
        .regout(dout_20),
        .clk(clk_c),
        .dataa(cntr[20]),
        .datab(cmd[20]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_20__Z.operation_mode="normal";
defparam dout_20__Z.output_mode="reg_only";
defparam dout_20__Z.lut_mask="ac00";
defparam dout_20__Z.synch_mode="off";
defparam dout_20__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_19__Z (
        .regout(dout_19),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[19]),
        .datac(cmd[19]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_19__Z.operation_mode="normal";
defparam dout_19__Z.output_mode="reg_only";
defparam dout_19__Z.lut_mask="ccf0";
defparam dout_19__Z.synch_mode="on";
defparam dout_19__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_18__Z (
        .regout(dout_18),
        .clk(clk_c),
        .dataa(cntr[18]),
        .datab(cmd[18]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_18__Z.operation_mode="normal";
defparam dout_18__Z.output_mode="reg_only";
defparam dout_18__Z.lut_mask="ac00";
defparam dout_18__Z.synch_mode="off";
defparam dout_18__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_17__Z (
        .regout(dout_17),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[17]),
        .datac(cmd[17]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_17__Z.operation_mode="normal";
defparam dout_17__Z.output_mode="reg_only";
defparam dout_17__Z.lut_mask="ccf0";
defparam dout_17__Z.synch_mode="on";
defparam dout_17__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_16__Z (
        .regout(dout_16),
        .clk(clk_c),
        .dataa(cntr[16]),
        .datab(cmd[16]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_16__Z.operation_mode="normal";
defparam dout_16__Z.output_mode="reg_only";
defparam dout_16__Z.lut_mask="ac00";
defparam dout_16__Z.synch_mode="off";
defparam dout_16__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_15__Z (
        .regout(dout_15),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[15]),
        .datac(cmd[15]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_15__Z.operation_mode="normal";
defparam dout_15__Z.output_mode="reg_only";
defparam dout_15__Z.lut_mask="ccf0";
defparam dout_15__Z.synch_mode="on";
defparam dout_15__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_14__Z (
        .regout(dout_14),
        .clk(clk_c),
        .dataa(cntr[14]),
        .datab(cmd[14]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_14__Z.operation_mode="normal";
defparam dout_14__Z.output_mode="reg_only";
defparam dout_14__Z.lut_mask="ac00";
defparam dout_14__Z.synch_mode="off";
defparam dout_14__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_13__Z (
        .regout(dout_13),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[13]),
        .datac(cmd[13]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_13__Z.operation_mode="normal";
defparam dout_13__Z.output_mode="reg_only";
defparam dout_13__Z.lut_mask="ccf0";
defparam dout_13__Z.synch_mode="on";
defparam dout_13__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_12__Z (
        .regout(dout_12),
        .clk(clk_c),
        .dataa(cntr[12]),
        .datab(cmd[12]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_12__Z.operation_mode="normal";
defparam dout_12__Z.output_mode="reg_only";
defparam dout_12__Z.lut_mask="ac00";
defparam dout_12__Z.synch_mode="off";
defparam dout_12__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_11__Z (
        .regout(dout_11),
        .clk(clk_c),
        .dataa(cntr[11]),
        .datab(cmd[11]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_11__Z.operation_mode="normal";
defparam dout_11__Z.output_mode="reg_only";
defparam dout_11__Z.lut_mask="ac00";
defparam dout_11__Z.synch_mode="off";
defparam dout_11__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_10__Z (
        .regout(dout_10),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[10]),
        .datac(cmd[10]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_10__Z.operation_mode="normal";
defparam dout_10__Z.output_mode="reg_only";
defparam dout_10__Z.lut_mask="ccf0";
defparam dout_10__Z.synch_mode="on";
defparam dout_10__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_9__Z (
        .regout(dout_9),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[9]),
        .datac(cmd[9]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_9__Z.operation_mode="normal";
defparam dout_9__Z.output_mode="reg_only";
defparam dout_9__Z.lut_mask="ccf0";
defparam dout_9__Z.synch_mode="on";
defparam dout_9__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_8__Z (
        .regout(dout_8),
        .clk(clk_c),
        .dataa(VCC),
        .datab(cntr[8]),
        .datac(cmd[8]),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(dout_0_0_a4_0_i[0]),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_8__Z.operation_mode="normal";
defparam dout_8__Z.output_mode="reg_only";
defparam dout_8__Z.lut_mask="ccf0";
defparam dout_8__Z.synch_mode="on";
defparam dout_8__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_7__Z (
        .regout(dout_7),
        .clk(clk_c),
        .dataa(buffer_reg[7]),
        .datab(dout_0_0_a2_0_4[0]),
        .datac(dout_0_0_0_a2_0_1[7]),
        .datad(dout_0_0_0_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_7__Z.operation_mode="normal";
defparam dout_7__Z.output_mode="reg_only";
defparam dout_7__Z.lut_mask="80ff";
defparam dout_7__Z.synch_mode="off";
defparam dout_7__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_6__Z (
        .regout(dout_6),
        .clk(clk_c),
        .dataa(buffer_reg[6]),
        .datab(dout_0_0_a2_0_4[0]),
        .datac(dout_0_0_0_a2_0_1[7]),
        .datad(dout_0_0_0_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_6__Z.operation_mode="normal";
defparam dout_6__Z.output_mode="reg_only";
defparam dout_6__Z.lut_mask="80ff";
defparam dout_6__Z.synch_mode="off";
defparam dout_6__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_5__Z (
        .regout(dout_5),
        .clk(clk_c),
        .dataa(buffer_reg[5]),
        .datab(dout_0_0_a2_0_4[0]),
        .datac(dout_0_0_0_a2_0_1[7]),
        .datad(dout_0_0_0_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_5__Z.operation_mode="normal";
defparam dout_5__Z.output_mode="reg_only";
defparam dout_5__Z.lut_mask="80ff";
defparam dout_5__Z.synch_mode="off";
defparam dout_5__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_4__Z (
        .regout(dout_4),
        .clk(clk_c),
        .dataa(buffer_reg[4]),
        .datab(dout_0_0_a2_0_4[0]),
        .datac(dout_0_0_0_a2_0_1[7]),
        .datad(dout_0_0_0_a[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_4__Z.operation_mode="normal";
defparam dout_4__Z.output_mode="reg_only";
defparam dout_4__Z.lut_mask="80ff";
defparam dout_4__Z.synch_mode="off";
defparam dout_4__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_3__Z (
        .regout(dout_3),
        .clk(clk_c),
        .dataa(dout_0_0_0_a2_1_1[3]),
        .datab(dout_0_0_a4[0]),
        .datac(dout_0_0_0_a2_0[3]),
        .datad(dout_0_0_0_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_3__Z.operation_mode="normal";
defparam dout_3__Z.output_mode="reg_only";
defparam dout_3__Z.lut_mask="f8ff";
defparam dout_3__Z.synch_mode="off";
defparam dout_3__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_2__Z (
        .regout(dout_2),
        .clk(clk_c),
        .dataa(w_txd_busy),
        .datab(r32_o_0_5),
        .datac(dout_0_0_0_a2_1_2[2]),
        .datad(dout_0_0_0_0[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_2__Z.operation_mode="normal";
defparam dout_2__Z.output_mode="reg_only";
defparam dout_2__Z.lut_mask="ff20";
defparam dout_2__Z.synch_mode="off";
defparam dout_2__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_1__Z (
        .regout(dout_1),
        .clk(clk_c),
        .dataa(rr_key1),
        .datab(r32_o_0_5),
        .datac(dout_0_0_0_a2_1_2[2]),
        .datad(dout_0_0_0_0[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_1__Z.operation_mode="normal";
defparam dout_1__Z.output_mode="reg_only";
defparam dout_1__Z.lut_mask="ff20";
defparam dout_1__Z.synch_mode="off";
defparam dout_1__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0__Z (
        .regout(dout_0),
        .clk(clk_c),
        .dataa(rr_key2),
        .datab(r32_o_0_5),
        .datac(dout_0_0_0_a2_1_2[2]),
        .datad(dout_0_0_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0__Z.operation_mode="normal";
defparam dout_0__Z.output_mode="reg_only";
defparam dout_0__Z.lut_mask="ff20";
defparam dout_0__Z.synch_mode="off";
defparam dout_0__Z.sum_lutc_input="datac";
// @15:197
  cyclone_lcell irq_req_o_Z (
        .regout(irq_req_o),
        .clk(clk_c),
        .dataa(rr_key1),
        .datab(cmd[30]),
        .datac(cmd[0]),
        .datad(irq_req_o_2_i_i_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_req_o_Z.operation_mode="normal";
defparam irq_req_o_Z.output_mode="reg_only";
defparam irq_req_o_Z.lut_mask="80f0";
defparam irq_req_o_Z.synch_mode="off";
defparam irq_req_o_Z.sum_lutc_input="datac";
// @15:57
  cyclone_lcell rr_key2_Z (
        .regout(rr_key2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r_key2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rr_key2_Z.operation_mode="normal";
defparam rr_key2_Z.output_mode="reg_only";
defparam rr_key2_Z.lut_mask="ff00";
defparam rr_key2_Z.synch_mode="off";
defparam rr_key2_Z.sum_lutc_input="datac";
// @15:57
  cyclone_lcell rr_key1_Z (
        .regout(rr_key1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(r_key1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam rr_key1_Z.operation_mode="normal";
defparam rr_key1_Z.output_mode="reg_only";
defparam rr_key1_Z.lut_mask="ff00";
defparam rr_key1_Z.synch_mode="off";
defparam rr_key1_Z.sum_lutc_input="datac";
// @15:51
  cyclone_lcell r_key2_Z (
        .regout(r_key2),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(key2_c),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_key2_Z.operation_mode="normal";
defparam r_key2_Z.output_mode="reg_only";
defparam r_key2_Z.lut_mask="ff00";
defparam r_key2_Z.synch_mode="off";
defparam r_key2_Z.sum_lutc_input="datac";
// @15:51
  cyclone_lcell r_key1_Z (
        .regout(r_key1),
        .clk(clk_c),
        .dataa(VCC),
        .datab(VCC),
        .datac(VCC),
        .datad(key1_c),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam r_key1_Z.operation_mode="normal";
defparam r_key1_Z.output_mode="reg_only";
defparam r_key1_Z.lut_mask="ff00";
defparam r_key1_Z.synch_mode="off";
defparam r_key1_Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell seg7data_4__Z (
        .regout(seg7data[4]),
        .clk(clk_c),
        .dataa(r32_o_4),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_319),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam seg7data_4__Z.operation_mode="normal";
defparam seg7data_4__Z.output_mode="reg_only";
defparam seg7data_4__Z.lut_mask="aaaa";
defparam seg7data_4__Z.synch_mode="on";
defparam seg7data_4__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_28__Z (
        .regout(cmd[28]),
        .clk(clk_c),
        .dataa(r32_o_28),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_28__Z.operation_mode="normal";
defparam cmd_28__Z.output_mode="reg_only";
defparam cmd_28__Z.lut_mask="aaaa";
defparam cmd_28__Z.synch_mode="on";
defparam cmd_28__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_22__Z (
        .regout(cmd[22]),
        .clk(clk_c),
        .dataa(r32_o_22),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_22__Z.operation_mode="normal";
defparam cmd_22__Z.output_mode="reg_only";
defparam cmd_22__Z.lut_mask="aaaa";
defparam cmd_22__Z.synch_mode="on";
defparam cmd_22__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_23__Z (
        .regout(cmd[23]),
        .clk(clk_c),
        .dataa(r32_o_23),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_23__Z.operation_mode="normal";
defparam cmd_23__Z.output_mode="reg_only";
defparam cmd_23__Z.lut_mask="aaaa";
defparam cmd_23__Z.synch_mode="on";
defparam cmd_23__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell seg7data_0__Z (
        .regout(seg7data[0]),
        .clk(clk_c),
        .dataa(r32_o_0),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_319),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam seg7data_0__Z.operation_mode="normal";
defparam seg7data_0__Z.output_mode="reg_only";
defparam seg7data_0__Z.lut_mask="aaaa";
defparam seg7data_0__Z.synch_mode="on";
defparam seg7data_0__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_24__Z (
        .regout(cmd[24]),
        .clk(clk_c),
        .dataa(r32_o_24),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_24__Z.operation_mode="normal";
defparam cmd_24__Z.output_mode="reg_only";
defparam cmd_24__Z.lut_mask="aaaa";
defparam cmd_24__Z.synch_mode="on";
defparam cmd_24__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell seg7data_7__Z (
        .regout(seg7data[7]),
        .clk(clk_c),
        .dataa(r32_o_7),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_319),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam seg7data_7__Z.operation_mode="normal";
defparam seg7data_7__Z.output_mode="reg_only";
defparam seg7data_7__Z.lut_mask="aaaa";
defparam seg7data_7__Z.synch_mode="on";
defparam seg7data_7__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell seg7data_1__Z (
        .regout(seg7data[1]),
        .clk(clk_c),
        .dataa(r32_o_1),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_319),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam seg7data_1__Z.operation_mode="normal";
defparam seg7data_1__Z.output_mode="reg_only";
defparam seg7data_1__Z.lut_mask="aaaa";
defparam seg7data_1__Z.synch_mode="on";
defparam seg7data_1__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_31__Z (
        .regout(cmd[31]),
        .clk(clk_c),
        .dataa(r32_o_31),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_31__Z.operation_mode="normal";
defparam cmd_31__Z.output_mode="reg_only";
defparam cmd_31__Z.lut_mask="aaaa";
defparam cmd_31__Z.synch_mode="on";
defparam cmd_31__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_25__Z (
        .regout(cmd[25]),
        .clk(clk_c),
        .dataa(r32_o_25),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_25__Z.operation_mode="normal";
defparam cmd_25__Z.output_mode="reg_only";
defparam cmd_25__Z.lut_mask="aaaa";
defparam cmd_25__Z.synch_mode="on";
defparam cmd_25__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell seg7data_6__Z (
        .regout(seg7data[6]),
        .clk(clk_c),
        .dataa(r32_o_6),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_319),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam seg7data_6__Z.operation_mode="normal";
defparam seg7data_6__Z.output_mode="reg_only";
defparam seg7data_6__Z.lut_mask="aaaa";
defparam seg7data_6__Z.synch_mode="on";
defparam seg7data_6__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell seg7data_2__Z (
        .regout(seg7data[2]),
        .clk(clk_c),
        .dataa(r32_o_2),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_319),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam seg7data_2__Z.operation_mode="normal";
defparam seg7data_2__Z.output_mode="reg_only";
defparam seg7data_2__Z.lut_mask="aaaa";
defparam seg7data_2__Z.synch_mode="on";
defparam seg7data_2__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_30__Z (
        .regout(cmd[30]),
        .clk(clk_c),
        .dataa(r32_o_30),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_30__Z.operation_mode="normal";
defparam cmd_30__Z.output_mode="reg_only";
defparam cmd_30__Z.lut_mask="aaaa";
defparam cmd_30__Z.synch_mode="on";
defparam cmd_30__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_26__Z (
        .regout(cmd[26]),
        .clk(clk_c),
        .dataa(r32_o_26),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_26__Z.operation_mode="normal";
defparam cmd_26__Z.output_mode="reg_only";
defparam cmd_26__Z.lut_mask="aaaa";
defparam cmd_26__Z.synch_mode="on";
defparam cmd_26__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell seg7data_5__Z (
        .regout(seg7data[5]),
        .clk(clk_c),
        .dataa(r32_o_5),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_319),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam seg7data_5__Z.operation_mode="normal";
defparam seg7data_5__Z.output_mode="reg_only";
defparam seg7data_5__Z.lut_mask="aaaa";
defparam seg7data_5__Z.synch_mode="on";
defparam seg7data_5__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell seg7data_3__Z (
        .regout(seg7data[3]),
        .clk(clk_c),
        .dataa(r32_o_3),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_319),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam seg7data_3__Z.operation_mode="normal";
defparam seg7data_3__Z.output_mode="reg_only";
defparam seg7data_3__Z.lut_mask="aaaa";
defparam seg7data_3__Z.synch_mode="on";
defparam seg7data_3__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_29__Z (
        .regout(cmd[29]),
        .clk(clk_c),
        .dataa(r32_o_29),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_29__Z.operation_mode="normal";
defparam cmd_29__Z.output_mode="reg_only";
defparam cmd_29__Z.lut_mask="aaaa";
defparam cmd_29__Z.synch_mode="on";
defparam cmd_29__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_27__Z (
        .regout(cmd[27]),
        .clk(clk_c),
        .dataa(r32_o_27),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_27__Z.operation_mode="normal";
defparam cmd_27__Z.output_mode="reg_only";
defparam cmd_27__Z.lut_mask="aaaa";
defparam cmd_27__Z.synch_mode="on";
defparam cmd_27__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_21__Z (
        .regout(cmd[21]),
        .clk(clk_c),
        .dataa(r32_o_21),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_21__Z.operation_mode="normal";
defparam cmd_21__Z.output_mode="reg_only";
defparam cmd_21__Z.lut_mask="aaaa";
defparam cmd_21__Z.synch_mode="on";
defparam cmd_21__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_20__Z (
        .regout(cmd[20]),
        .clk(clk_c),
        .dataa(r32_o_20),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_20__Z.operation_mode="normal";
defparam cmd_20__Z.output_mode="reg_only";
defparam cmd_20__Z.lut_mask="aaaa";
defparam cmd_20__Z.synch_mode="on";
defparam cmd_20__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_19__Z (
        .regout(cmd[19]),
        .clk(clk_c),
        .dataa(r32_o_19),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_19__Z.operation_mode="normal";
defparam cmd_19__Z.output_mode="reg_only";
defparam cmd_19__Z.lut_mask="aaaa";
defparam cmd_19__Z.synch_mode="on";
defparam cmd_19__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_18__Z (
        .regout(cmd[18]),
        .clk(clk_c),
        .dataa(r32_o_18),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_18__Z.operation_mode="normal";
defparam cmd_18__Z.output_mode="reg_only";
defparam cmd_18__Z.lut_mask="aaaa";
defparam cmd_18__Z.synch_mode="on";
defparam cmd_18__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_17__Z (
        .regout(cmd[17]),
        .clk(clk_c),
        .dataa(r32_o_17),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_17__Z.operation_mode="normal";
defparam cmd_17__Z.output_mode="reg_only";
defparam cmd_17__Z.lut_mask="aaaa";
defparam cmd_17__Z.synch_mode="on";
defparam cmd_17__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_16__Z (
        .regout(cmd[16]),
        .clk(clk_c),
        .dataa(r32_o_16),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_16__Z.operation_mode="normal";
defparam cmd_16__Z.output_mode="reg_only";
defparam cmd_16__Z.lut_mask="aaaa";
defparam cmd_16__Z.synch_mode="on";
defparam cmd_16__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_15__Z (
        .regout(cmd[15]),
        .clk(clk_c),
        .dataa(r32_o_15),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_15__Z.operation_mode="normal";
defparam cmd_15__Z.output_mode="reg_only";
defparam cmd_15__Z.lut_mask="aaaa";
defparam cmd_15__Z.synch_mode="on";
defparam cmd_15__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_14__Z (
        .regout(cmd[14]),
        .clk(clk_c),
        .dataa(r32_o_14),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_14__Z.operation_mode="normal";
defparam cmd_14__Z.output_mode="reg_only";
defparam cmd_14__Z.lut_mask="aaaa";
defparam cmd_14__Z.synch_mode="on";
defparam cmd_14__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_13__Z (
        .regout(cmd[13]),
        .clk(clk_c),
        .dataa(r32_o_13),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_13__Z.operation_mode="normal";
defparam cmd_13__Z.output_mode="reg_only";
defparam cmd_13__Z.lut_mask="aaaa";
defparam cmd_13__Z.synch_mode="on";
defparam cmd_13__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_12__Z (
        .regout(cmd[12]),
        .clk(clk_c),
        .dataa(r32_o_12),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_12__Z.operation_mode="normal";
defparam cmd_12__Z.output_mode="reg_only";
defparam cmd_12__Z.lut_mask="aaaa";
defparam cmd_12__Z.synch_mode="on";
defparam cmd_12__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_11__Z (
        .regout(cmd[11]),
        .clk(clk_c),
        .dataa(r32_o_11),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_11__Z.operation_mode="normal";
defparam cmd_11__Z.output_mode="reg_only";
defparam cmd_11__Z.lut_mask="aaaa";
defparam cmd_11__Z.synch_mode="on";
defparam cmd_11__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_10__Z (
        .regout(cmd[10]),
        .clk(clk_c),
        .dataa(r32_o_10),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_10__Z.operation_mode="normal";
defparam cmd_10__Z.output_mode="reg_only";
defparam cmd_10__Z.lut_mask="aaaa";
defparam cmd_10__Z.synch_mode="on";
defparam cmd_10__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_9__Z (
        .regout(cmd[9]),
        .clk(clk_c),
        .dataa(r32_o_9),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_9__Z.operation_mode="normal";
defparam cmd_9__Z.output_mode="reg_only";
defparam cmd_9__Z.lut_mask="aaaa";
defparam cmd_9__Z.synch_mode="on";
defparam cmd_9__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_8__Z (
        .regout(cmd[8]),
        .clk(clk_c),
        .dataa(r32_o_8),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_8__Z.operation_mode="normal";
defparam cmd_8__Z.output_mode="reg_only";
defparam cmd_8__Z.lut_mask="aaaa";
defparam cmd_8__Z.synch_mode="on";
defparam cmd_8__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_7__Z (
        .regout(cmd[7]),
        .clk(clk_c),
        .dataa(r32_o_7),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_7__Z.operation_mode="normal";
defparam cmd_7__Z.output_mode="reg_only";
defparam cmd_7__Z.lut_mask="aaaa";
defparam cmd_7__Z.synch_mode="on";
defparam cmd_7__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_6__Z (
        .regout(cmd_6),
        .clk(clk_c),
        .dataa(r32_o_6),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_6__Z.operation_mode="normal";
defparam cmd_6__Z.output_mode="reg_only";
defparam cmd_6__Z.lut_mask="aaaa";
defparam cmd_6__Z.synch_mode="on";
defparam cmd_6__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_5__Z (
        .regout(cmd_5),
        .clk(clk_c),
        .dataa(r32_o_5),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_5__Z.operation_mode="normal";
defparam cmd_5__Z.output_mode="reg_only";
defparam cmd_5__Z.lut_mask="aaaa";
defparam cmd_5__Z.synch_mode="on";
defparam cmd_5__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_4__Z (
        .regout(cmd_4),
        .clk(clk_c),
        .dataa(r32_o_4),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_4__Z.operation_mode="normal";
defparam cmd_4__Z.output_mode="reg_only";
defparam cmd_4__Z.lut_mask="aaaa";
defparam cmd_4__Z.synch_mode="on";
defparam cmd_4__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_3__Z (
        .regout(cmd_3),
        .clk(clk_c),
        .dataa(r32_o_3),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_3__Z.operation_mode="normal";
defparam cmd_3__Z.output_mode="reg_only";
defparam cmd_3__Z.lut_mask="aaaa";
defparam cmd_3__Z.synch_mode="on";
defparam cmd_3__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_2__Z (
        .regout(cmd_2),
        .clk(clk_c),
        .dataa(r32_o_2),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_2__Z.operation_mode="normal";
defparam cmd_2__Z.output_mode="reg_only";
defparam cmd_2__Z.lut_mask="aaaa";
defparam cmd_2__Z.synch_mode="on";
defparam cmd_2__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_0__Z (
        .regout(cmd[0]),
        .clk(clk_c),
        .dataa(r32_o_0),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_0__Z.operation_mode="normal";
defparam cmd_0__Z.output_mode="reg_only";
defparam cmd_0__Z.lut_mask="aaaa";
defparam cmd_0__Z.synch_mode="on";
defparam cmd_0__Z.sum_lutc_input="datac";
// @15:129
  cyclone_lcell cmd_1__Z (
        .regout(cmd[1]),
        .clk(clk_c),
        .dataa(r32_o_1),
        .datab(VCC),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(rst_c_i),
        .sload(GND),
        .ena(G_320),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam cmd_1__Z.operation_mode="normal";
defparam cmd_1__Z.output_mode="reg_only";
defparam cmd_1__Z.lut_mask="aaaa";
defparam cmd_1__Z.synch_mode="on";
defparam cmd_1__Z.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a_3_ (
        .combout(dout_0_0_0_a[3]),
        .dataa(cmd_3),
        .datab(cntr[3]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a_3_.operation_mode="normal";
defparam dout_0_0_0_a_3_.output_mode="comb_only";
defparam dout_0_0_0_a_3_.lut_mask="35ff";
defparam dout_0_0_0_a_3_.synch_mode="off";
defparam dout_0_0_0_a_3_.sum_lutc_input="datac";
// @15:83
  cyclone_lcell key1_addr_0_sqmuxa_0_a2_cZ (
        .combout(key1_addr_0_sqmuxa_0_a2),
        .dataa(dmem_ctl_o_2),
        .datab(r32_o_0_2),
        .datac(r32_o_0_3),
        .datad(tmr_addr_0_sqmuxa_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key1_addr_0_sqmuxa_0_a2_cZ.operation_mode="normal";
defparam key1_addr_0_sqmuxa_0_a2_cZ.output_mode="comb_only";
defparam key1_addr_0_sqmuxa_0_a2_cZ.lut_mask="8000";
defparam key1_addr_0_sqmuxa_0_a2_cZ.synch_mode="off";
defparam key1_addr_0_sqmuxa_0_a2_cZ.sum_lutc_input="datac";
// @15:75
  cyclone_lcell lcd_data_0_sqmuxa_0_a2_cZ (
        .combout(lcd_data_0_sqmuxa_0_a2),
        .dataa(dmem_ctl_o_2),
        .datab(r32_o_0_2),
        .datac(r32_o_0_3),
        .datad(tmr_addr_0_sqmuxa_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam lcd_data_0_sqmuxa_0_a2_cZ.operation_mode="normal";
defparam lcd_data_0_sqmuxa_0_a2_cZ.output_mode="comb_only";
defparam lcd_data_0_sqmuxa_0_a2_cZ.lut_mask="0400";
defparam lcd_data_0_sqmuxa_0_a2_cZ.synch_mode="off";
defparam lcd_data_0_sqmuxa_0_a2_cZ.sum_lutc_input="datac";
// @15:82
  cyclone_lcell tmr_addr_0_sqmuxa_0_a2_cZ (
        .combout(tmr_addr_0_sqmuxa_0_a2),
        .dataa(dmem_ctl_o_2),
        .datab(r32_o_0_3),
        .datac(r32_o_0_2),
        .datad(tmr_addr_0_sqmuxa_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_0_sqmuxa_0_a2_cZ.operation_mode="normal";
defparam tmr_addr_0_sqmuxa_0_a2_cZ.output_mode="comb_only";
defparam tmr_addr_0_sqmuxa_0_a2_cZ.lut_mask="0800";
defparam tmr_addr_0_sqmuxa_0_a2_cZ.synch_mode="off";
defparam tmr_addr_0_sqmuxa_0_a2_cZ.sum_lutc_input="datac";
// @15:84
  cyclone_lcell key2_addr_0_sqmuxa_0_a2_cZ (
        .combout(key2_addr_0_sqmuxa_0_a2),
        .dataa(rst_c),
        .datab(key2_addr_0_sqmuxa_0_a2_a),
        .datac(r32_o_0_5),
        .datad(wr_cmd_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_0_sqmuxa_0_a2_cZ.operation_mode="normal";
defparam key2_addr_0_sqmuxa_0_a2_cZ.output_mode="comb_only";
defparam key2_addr_0_sqmuxa_0_a2_cZ.lut_mask="8000";
defparam key2_addr_0_sqmuxa_0_a2_cZ.synch_mode="off";
defparam key2_addr_0_sqmuxa_0_a2_cZ.sum_lutc_input="datac";
// @15:84
  cyclone_lcell key2_addr_0_sqmuxa_0_a2_a_cZ (
        .combout(key2_addr_0_sqmuxa_0_a2_a),
        .dataa(dmem_ctl_o_2),
        .datab(r32_o_0_4),
        .datac(r32_o_0_2),
        .datad(r32_o_0_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam key2_addr_0_sqmuxa_0_a2_a_cZ.operation_mode="normal";
defparam key2_addr_0_sqmuxa_0_a2_a_cZ.output_mode="comb_only";
defparam key2_addr_0_sqmuxa_0_a2_a_cZ.lut_mask="0008";
defparam key2_addr_0_sqmuxa_0_a2_a_cZ.synch_mode="off";
defparam key2_addr_0_sqmuxa_0_a2_a_cZ.sum_lutc_input="datac";
// @15:82
  cyclone_lcell tmr_addr_0_sqmuxa_0_a2_0_cZ (
        .combout(tmr_addr_0_sqmuxa_0_a2_0),
        .dataa(rst_c),
        .datab(r32_o_0_4),
        .datac(r32_o_0_5),
        .datad(wr_cmd_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam tmr_addr_0_sqmuxa_0_a2_0_cZ.operation_mode="normal";
defparam tmr_addr_0_sqmuxa_0_a2_0_cZ.output_mode="comb_only";
defparam tmr_addr_0_sqmuxa_0_a2_0_cZ.lut_mask="2000";
defparam tmr_addr_0_sqmuxa_0_a2_0_cZ.synch_mode="off";
defparam tmr_addr_0_sqmuxa_0_a2_0_cZ.sum_lutc_input="datac";
// @15:74
  cyclone_lcell wr_uartdata_0_a2_cZ (
        .combout(wr_uartdata_0_a2),
        .dataa(dmem_ctl_o_2),
        .datab(wr_uartdata_0_a2_a),
        .datac(r32_o_0_5),
        .datad(wr_cmd_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_uartdata_0_a2_cZ.operation_mode="normal";
defparam wr_uartdata_0_a2_cZ.output_mode="comb_only";
defparam wr_uartdata_0_a2_cZ.lut_mask="4000";
defparam wr_uartdata_0_a2_cZ.synch_mode="off";
defparam wr_uartdata_0_a2_cZ.sum_lutc_input="datac";
// @15:74
  cyclone_lcell wr_uartdata_0_a2_a_cZ (
        .combout(wr_uartdata_0_a2_a),
        .dataa(r32_o_0_4),
        .datab(r32_o_0_3),
        .datac(r32_o_0_2),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_uartdata_0_a2_a_cZ.operation_mode="normal";
defparam wr_uartdata_0_a2_a_cZ.output_mode="comb_only";
defparam wr_uartdata_0_a2_a_cZ.lut_mask="0404";
defparam wr_uartdata_0_a2_a_cZ.synch_mode="off";
defparam wr_uartdata_0_a2_a_cZ.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_a4_0_0_ (
        .combout(dout_0_0_a4_0[0]),
        .dataa(r32_o_0_2),
        .datab(r32_o_0_3),
        .datac(dout_0_0_a4[0]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a4_0_0_.operation_mode="normal";
defparam dout_0_0_a4_0_0_.output_mode="comb_only";
defparam dout_0_0_a4_0_0_.lut_mask="2020";
defparam dout_0_0_a4_0_0_.synch_mode="off";
defparam dout_0_0_a4_0_0_.sum_lutc_input="datac";
// @11:37
  cyclone_lcell wr_tmr_data_0_a2_cZ (
        .combout(wr_tmr_data_0_a2),
        .dataa(VCC),
        .datab(wr_tmr_data_0_a2_0_i),
        .datac(r32_o_0_5),
        .datad(wr_cmd_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_tmr_data_0_a2_cZ.operation_mode="normal";
defparam wr_tmr_data_0_a2_cZ.output_mode="comb_only";
defparam wr_tmr_data_0_a2_cZ.lut_mask="3000";
defparam wr_tmr_data_0_a2_cZ.synch_mode="off";
defparam wr_tmr_data_0_a2_cZ.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a2_1_2_2_ (
        .combout(dout_0_0_0_a2_1_2[2]),
        .dataa(r32_o_0_3),
        .datab(r32_o_0_2),
        .datac(dout_0_0_a4[0]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a2_1_2_2_.operation_mode="normal";
defparam dout_0_0_0_a2_1_2_2_.output_mode="comb_only";
defparam dout_0_0_0_a2_1_2_2_.lut_mask="2020";
defparam dout_0_0_0_a2_1_2_2_.synch_mode="off";
defparam dout_0_0_0_a2_1_2_2_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_a4_0_ (
        .combout(dout_0_0_a4[0]),
        .dataa(dmem_ctl_o_0),
        .datab(dout_0_0_a4_1_0[0]),
        .datac(wr_cmd_0_a2_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a4_0_.operation_mode="normal";
defparam dout_0_0_a4_0_.output_mode="comb_only";
defparam dout_0_0_a4_0_.lut_mask="4040";
defparam dout_0_0_a4_0_.synch_mode="off";
defparam dout_0_0_a4_0_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a2_0_1_7_ (
        .combout(dout_0_0_0_a2_0_1[7]),
        .dataa(dmem_ctl_o_0),
        .datab(dout_0_0_0_a2_0_1_1_0[7]),
        .datac(wr_cmd_0_a2_1),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a2_0_1_7_.operation_mode="normal";
defparam dout_0_0_0_a2_0_1_7_.output_mode="comb_only";
defparam dout_0_0_0_a2_0_1_7_.lut_mask="4040";
defparam dout_0_0_0_a2_0_1_7_.synch_mode="off";
defparam dout_0_0_0_a2_0_1_7_.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_0_cZ (
        .combout(wr_cmd_0_a2_0),
        .dataa(VCC),
        .datab(dmem_ctl_o_0),
        .datac(dmem_ctl_o_1),
        .datad(wr_cmd_0_a2_1),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_0_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_0_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_0_cZ.lut_mask="0c00";
defparam wr_cmd_0_a2_0_cZ.synch_mode="off";
defparam wr_cmd_0_a2_0_cZ.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_0_2_ (
        .combout(dout_0_0_0_0[2]),
        .dataa(buffer_reg[2]),
        .datab(dout_0_0_a2_0_4[0]),
        .datac(dout_0_0_0_a2_0_1[7]),
        .datad(dout_0_0_0_0_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_0_2_.operation_mode="normal";
defparam dout_0_0_0_0_2_.output_mode="comb_only";
defparam dout_0_0_0_0_2_.lut_mask="80ff";
defparam dout_0_0_0_0_2_.synch_mode="off";
defparam dout_0_0_0_0_2_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_0_a_2_ (
        .combout(dout_0_0_0_0_a[2]),
        .dataa(cmd_2),
        .datab(cntr[2]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_0_a_2_.operation_mode="normal";
defparam dout_0_0_0_0_a_2_.output_mode="comb_only";
defparam dout_0_0_0_0_a_2_.lut_mask="35ff";
defparam dout_0_0_0_0_a_2_.synch_mode="off";
defparam dout_0_0_0_0_a_2_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_0_1_ (
        .combout(dout_0_0_0_0[1]),
        .dataa(buffer_reg[1]),
        .datab(dout_0_0_a2_0_4[0]),
        .datac(dout_0_0_0_a2_0_1[7]),
        .datad(dout_0_0_0_0_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_0_1_.operation_mode="normal";
defparam dout_0_0_0_0_1_.output_mode="comb_only";
defparam dout_0_0_0_0_1_.lut_mask="80ff";
defparam dout_0_0_0_0_1_.synch_mode="off";
defparam dout_0_0_0_0_1_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_0_a_1_ (
        .combout(dout_0_0_0_0_a[1]),
        .dataa(cmd[1]),
        .datab(cntr[1]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_0_a_1_.operation_mode="normal";
defparam dout_0_0_0_0_a_1_.output_mode="comb_only";
defparam dout_0_0_0_0_a_1_.lut_mask="35ff";
defparam dout_0_0_0_0_a_1_.synch_mode="off";
defparam dout_0_0_0_0_a_1_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_0_ (
        .combout(dout_0_0_0[0]),
        .dataa(buffer_reg[0]),
        .datab(dout_0_0_a2_0_4[0]),
        .datac(dout_0_0_0_a2_0_1[7]),
        .datad(dout_0_0_0_a[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_0_.operation_mode="normal";
defparam dout_0_0_0_0_.output_mode="comb_only";
defparam dout_0_0_0_0_.lut_mask="80ff";
defparam dout_0_0_0_0_.synch_mode="off";
defparam dout_0_0_0_0_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a_0_ (
        .combout(dout_0_0_0_a[0]),
        .dataa(cmd[0]),
        .datab(cntr[0]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a_0_.operation_mode="normal";
defparam dout_0_0_0_a_0_.output_mode="comb_only";
defparam dout_0_0_0_a_0_.lut_mask="35ff";
defparam dout_0_0_0_a_0_.synch_mode="off";
defparam dout_0_0_0_a_0_.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_cZ (
        .combout(wr_cmd_0_a2_1),
        .dataa(wr_cmd_0_a2_1_15),
        .datab(wr_cmd_0_a2_1_16),
        .datac(wr_cmd_0_a2_1_21),
        .datad(wr_cmd_0_a2_1_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_cZ.lut_mask="0080";
defparam wr_cmd_0_a2_1_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_cZ.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_a_cZ (
        .combout(wr_cmd_0_a2_1_a),
        .dataa(wr_cmd_0_a2_1_19),
        .datab(wr_cmd_0_a2_1_20),
        .datac(wr_cmd_0_a2_1_17),
        .datad(wr_cmd_0_a2_1_18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_a_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_a_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_a_cZ.lut_mask="7fff";
defparam wr_cmd_0_a2_1_a_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_a_cZ.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a_4_ (
        .combout(dout_0_0_0_a[4]),
        .dataa(cmd_4),
        .datab(cntr[4]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a_4_.operation_mode="normal";
defparam dout_0_0_0_a_4_.output_mode="comb_only";
defparam dout_0_0_0_a_4_.lut_mask="35ff";
defparam dout_0_0_0_a_4_.synch_mode="off";
defparam dout_0_0_0_a_4_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a_5_ (
        .combout(dout_0_0_0_a[5]),
        .dataa(cmd_5),
        .datab(cntr[5]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a_5_.operation_mode="normal";
defparam dout_0_0_0_a_5_.output_mode="comb_only";
defparam dout_0_0_0_a_5_.lut_mask="35ff";
defparam dout_0_0_0_a_5_.synch_mode="off";
defparam dout_0_0_0_a_5_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a_6_ (
        .combout(dout_0_0_0_a[6]),
        .dataa(cmd_6),
        .datab(cntr[6]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a_6_.operation_mode="normal";
defparam dout_0_0_0_a_6_.output_mode="comb_only";
defparam dout_0_0_0_a_6_.lut_mask="35ff";
defparam dout_0_0_0_a_6_.synch_mode="off";
defparam dout_0_0_0_a_6_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a_7_ (
        .combout(dout_0_0_0_a[7]),
        .dataa(cmd[7]),
        .datab(cntr[7]),
        .datac(r32_o_0_5),
        .datad(dout_0_0_a4_0[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a_7_.operation_mode="normal";
defparam dout_0_0_0_a_7_.output_mode="comb_only";
defparam dout_0_0_0_a_7_.lut_mask="35ff";
defparam dout_0_0_0_a_7_.synch_mode="off";
defparam dout_0_0_0_a_7_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a2_0_3_ (
        .combout(dout_0_0_0_a2_0[3]),
        .dataa(buffer_reg[3]),
        .datab(dout_0_0_a2_0_4[0]),
        .datac(dout_0_0_0_a2_0_1[7]),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a2_0_3_.operation_mode="normal";
defparam dout_0_0_0_a2_0_3_.output_mode="comb_only";
defparam dout_0_0_0_a2_0_3_.lut_mask="8080";
defparam dout_0_0_0_a2_0_3_.synch_mode="off";
defparam dout_0_0_0_a2_0_3_.sum_lutc_input="datac";
// @15:198
  cyclone_lcell irq_req_o_2_i_i_a_cZ (
        .combout(irq_req_o_2_i_i_a),
        .dataa(cmd[29]),
        .datab(rr_key2),
        .datac(cmd[31]),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_req_o_2_i_i_a_cZ.operation_mode="normal";
defparam irq_req_o_2_i_i_a_cZ.output_mode="comb_only";
defparam irq_req_o_2_i_i_a_cZ.lut_mask="0777";
defparam irq_req_o_2_i_i_a_cZ.synch_mode="off";
defparam irq_req_o_2_i_i_a_cZ.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_21_cZ (
        .combout(wr_cmd_0_a2_1_21),
        .dataa(r32_o_0_17),
        .datab(r32_o_0_1),
        .datac(r32_o_0_0),
        .datad(wr_cmd_0_a2_1_21_a),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_21_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_21_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_21_cZ.lut_mask="0100";
defparam wr_cmd_0_a2_1_21_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_21_cZ.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a2_0_1_1_0_7_ (
        .combout(dout_0_0_0_a2_0_1_1_0[7]),
        .dataa(rst_c),
        .datab(r32_o_0_4),
        .datac(r32_o_0_3),
        .datad(r32_o_0_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a2_0_1_1_0_7_.operation_mode="normal";
defparam dout_0_0_0_a2_0_1_1_0_7_.output_mode="comb_only";
defparam dout_0_0_0_a2_0_1_1_0_7_.lut_mask="0020";
defparam dout_0_0_0_a2_0_1_1_0_7_.synch_mode="off";
defparam dout_0_0_0_a2_0_1_1_0_7_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_0_a2_1_1_3_ (
        .combout(dout_0_0_0_a2_1_1[3]),
        .dataa(q_0),
        .datab(r32_o_0_3),
        .datac(r32_o_0_2),
        .datad(r32_o_0_5),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_0_a2_1_1_3_.operation_mode="normal";
defparam dout_0_0_0_a2_1_1_3_.output_mode="comb_only";
defparam dout_0_0_0_a2_1_1_3_.lut_mask="0008";
defparam dout_0_0_0_a2_1_1_3_.synch_mode="off";
defparam dout_0_0_0_a2_1_1_3_.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_a4_1_0_0_ (
        .combout(dout_0_0_a4_1_0[0]),
        .dataa(rst_c),
        .datab(dmem_ctl_o_1),
        .datac(dmem_ctl_o_2),
        .datad(r32_o_0_4),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a4_1_0_0_.operation_mode="normal";
defparam dout_0_0_a4_1_0_0_.output_mode="comb_only";
defparam dout_0_0_a4_1_0_0_.lut_mask="8000";
defparam dout_0_0_a4_1_0_0_.synch_mode="off";
defparam dout_0_0_a4_1_0_0_.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_20_cZ (
        .combout(wr_cmd_0_a2_1_20),
        .dataa(r32_o_0_28),
        .datab(r32_o_0_27),
        .datac(r32_o_0_29),
        .datad(r32_o_0_13),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_20_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_20_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_20_cZ.lut_mask="0001";
defparam wr_cmd_0_a2_1_20_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_20_cZ.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_19_cZ (
        .combout(wr_cmd_0_a2_1_19),
        .dataa(r32_o_0_25),
        .datab(r32_o_0_23),
        .datac(r32_o_0_26),
        .datad(r32_o_0_7),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_19_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_19_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_19_cZ.lut_mask="0001";
defparam wr_cmd_0_a2_1_19_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_19_cZ.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_18_cZ (
        .combout(wr_cmd_0_a2_1_18),
        .dataa(r32_o_0_6),
        .datab(r32_o_0_8),
        .datac(r32_o_0_9),
        .datad(r32_o_0_12),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_18_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_18_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_18_cZ.lut_mask="0001";
defparam wr_cmd_0_a2_1_18_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_18_cZ.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_17_cZ (
        .combout(wr_cmd_0_a2_1_17),
        .dataa(r32_o_0_30),
        .datab(r32_o_0_31),
        .datac(r32_o_0_10),
        .datad(r32_o_0_11),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_17_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_17_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_17_cZ.lut_mask="0004";
defparam wr_cmd_0_a2_1_17_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_17_cZ.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_16_cZ (
        .combout(wr_cmd_0_a2_1_16),
        .dataa(r32_o_0_24),
        .datab(r32_o_0_19),
        .datac(r32_o_0_22),
        .datad(r32_o_0_15),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_16_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_16_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_16_cZ.lut_mask="0001";
defparam wr_cmd_0_a2_1_16_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_16_cZ.sum_lutc_input="datac";
// @15:78
  cyclone_lcell wr_cmd_0_a2_1_15_cZ (
        .combout(wr_cmd_0_a2_1_15),
        .dataa(r32_o_0_16),
        .datab(r32_o_0_21),
        .datac(r32_o_0_18),
        .datad(r32_o_0_20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_cmd_0_a2_1_15_cZ.operation_mode="normal";
defparam wr_cmd_0_a2_1_15_cZ.output_mode="comb_only";
defparam wr_cmd_0_a2_1_15_cZ.lut_mask="0001";
defparam wr_cmd_0_a2_1_15_cZ.synch_mode="off";
defparam wr_cmd_0_a2_1_15_cZ.sum_lutc_input="datac";
// @15:191
  cyclone_lcell irq_addr_o_6_sn_m1_cZ (
        .combout(irq_addr_o_6_sn_m1),
        .dataa(cmd[30]),
        .datab(rr_key1),
        .datac(cmd[31]),
        .datad(q),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam irq_addr_o_6_sn_m1_cZ.operation_mode="normal";
defparam irq_addr_o_6_sn_m1_cZ.output_mode="comb_only";
defparam irq_addr_o_6_sn_m1_cZ.lut_mask="0777";
defparam irq_addr_o_6_sn_m1_cZ.synch_mode="off";
defparam irq_addr_o_6_sn_m1_cZ.sum_lutc_input="datac";
// @15:85
  cyclone_lcell wr_tmr_data_0_a2_0_i_cZ (
        .combout(wr_tmr_data_0_a2_0_i),
        .dataa(dmem_ctl_o_2),
        .datab(r32_o_0_4),
        .datac(r32_o_0_2),
        .datad(r32_o_0_3),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam wr_tmr_data_0_a2_0_i_cZ.operation_mode="normal";
defparam wr_tmr_data_0_a2_0_i_cZ.output_mode="comb_only";
defparam wr_tmr_data_0_a2_0_i_cZ.lut_mask="ff7f";
defparam wr_tmr_data_0_a2_0_i_cZ.synch_mode="off";
defparam wr_tmr_data_0_a2_0_i_cZ.sum_lutc_input="datac";
// @15:115
  cyclone_lcell dout_0_0_a2_0_4_0_ (
        .combout(dout_0_0_a2_0_4[0]),
        .dataa(dmem_ctl_o_1),
        .datab(dmem_ctl_o_2),
        .datac(r32_o_0_5),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam dout_0_0_a2_0_4_0_.operation_mode="normal";
defparam dout_0_0_a2_0_4_0_.output_mode="comb_only";
defparam dout_0_0_a2_0_4_0_.lut_mask="6060";
defparam dout_0_0_a2_0_4_0_.synch_mode="off";
defparam dout_0_0_a2_0_4_0_.sum_lutc_input="datac";
// @15:151
  uart0 iuart0 (
        .r32_o_7(r32_o_7),
        .r32_o_6(r32_o_6),
        .r32_o_5(r32_o_5),
        .r32_o_4(r32_o_4),
        .r32_o_3(r32_o_3),
        .r32_o_2(r32_o_2),
        .r32_o_1(r32_o_1),
        .r32_o_0(r32_o_0),
        .cmd_0(cmd[1]),
        .clk_ctr_0(clk_ctr_0),
        .clk_ctr_2(clk_ctr_2),
        .clk_ctr_3(clk_ctr_3),
        .ua_state_0(ua_state_0),
        .buffer_reg_0(buffer_reg[0]),
        .buffer_reg_1(buffer_reg[1]),
        .buffer_reg_2(buffer_reg[2]),
        .buffer_reg_3(buffer_reg[3]),
        .buffer_reg_4(buffer_reg[4]),
        .buffer_reg_5(buffer_reg[5]),
        .buffer_reg_6(buffer_reg[6]),
        .buffer_reg_7(buffer_reg[7]),
        .clk_ctr_equ15_0_a2_0(clk_ctr_equ15_0_a2),
        .read_request_ff(read_request_ff),
        .txd(txd),
        .G_176_x(G_176_x),
        .G_311(G_311),
        .bit_ctr23_i_0_o2(bit_ctr23_i_0_o2),
        .w_txd_busy(w_txd_busy),
        .wr_uartdata_0_a2(wr_uartdata_0_a2),
        .q(q_0),
        .clk_c(clk_c),
        .clk_ctr_equ15_0_a2(clk_ctr_equ15_0_a2_0),
        .rst_c(rst_c),
        .ser_rxd_c(ser_rxd_c),
        .G_295_x(G_295_x),
        .G_303(G_303),
        .un1_clk_ctr_equ0_0_a2(un1_clk_ctr_equ0_0_a2),
        .un1_clk_ctr_equ0_0_a2_0(un1_clk_ctr_equ0_0_a2_0)
);
// @15:169
  seg7led_cv iseg7_cv (
        .seg7data_3(seg7data[3]),
        .seg7data_2(seg7data[2]),
        .seg7data_1(seg7data[1]),
        .seg7data_0(seg7data[0]),
        .seg7data_7(seg7data[7]),
        .seg7data_6(seg7data[6]),
        .seg7data_5(seg7data[5]),
        .seg7data_4(seg7data[4]),
        .N_13_i(N_13_i),
        .m11(m11),
        .m15(m15),
        .N_31_i(N_31_i),
        .m18(m18),
        .N_27_i(N_27_i),
        .N_29_i(N_29_i),
        .N_44_i(N_44_i),
        .m11_0(m11_0),
        .m15_0(m15_0),
        .N_62_i(N_62_i),
        .m18_0(m18_0),
        .N_58_i(N_58_i),
        .N_60_i(N_60_i)
);
// @15:175
  tmr0 mips_tmr0 (
        .cmd_0(cmd[7]),
        .cmd_1(cmd[8]),
        .cntr_31(cntr[31]),
        .cntr_30(cntr[30]),
        .cntr_29(cntr[29]),
        .cntr_28(cntr[28]),
        .cntr_27(cntr[27]),
        .cntr_26(cntr[26]),
        .cntr_25(cntr[25]),
        .cntr_24(cntr[24]),
        .cntr_23(cntr[23]),
        .cntr_22(cntr[22]),
        .cntr_21(cntr[21]),
        .cntr_20(cntr[20]),
        .cntr_19(cntr[19]),
        .cntr_18(cntr[18]),
        .cntr_17(cntr[17]),
        .cntr_16(cntr[16]),
        .cntr_15(cntr[15]),
        .cntr_14(cntr[14]),
        .cntr_13(cntr[13]),
        .cntr_12(cntr[12]),
        .cntr_11(cntr[11]),
        .cntr_10(cntr[10]),
        .cntr_9(cntr[9]),
        .cntr_8(cntr[8]),
        .cntr_7(cntr[7]),
        .cntr_6(cntr[6]),
        .cntr_5(cntr[5]),
        .cntr_4(cntr[4]),
        .cntr_3(cntr[3]),
        .cntr_2(cntr[2]),
        .cntr_1(cntr[1]),
        .cntr_0(cntr[0]),
        .r32_o_31(r32_o_31),
        .r32_o_30(r32_o_30),
        .r32_o_29(r32_o_29),
        .r32_o_28(r32_o_28),
        .r32_o_27(r32_o_27),
        .r32_o_26(r32_o_26),
        .r32_o_25(r32_o_25),
        .r32_o_24(r32_o_24),
        .r32_o_23(r32_o_23),
        .r32_o_22(r32_o_22),
        .r32_o_21(r32_o_21),
        .r32_o_20(r32_o_20),
        .r32_o_19(r32_o_19),
        .r32_o_18(r32_o_18),
        .r32_o_17(r32_o_17),
        .r32_o_16(r32_o_16),
        .r32_o_15(r32_o_15),
        .r32_o_14(r32_o_14),
        .r32_o_13(r32_o_13),
        .r32_o_12(r32_o_12),
        .r32_o_11(r32_o_11),
        .r32_o_10(r32_o_10),
        .r32_o_9(r32_o_9),
        .r32_o_8(r32_o_8),
        .r32_o_7(r32_o_7),
        .r32_o_6(r32_o_6),
        .r32_o_5(r32_o_5),
        .r32_o_4(r32_o_4),
        .r32_o_3(r32_o_3),
        .r32_o_2(r32_o_2),
        .r32_o_1(r32_o_1),
        .r32_o_0(r32_o_0),
        .q(q),
        .wr_tmr_data_0_a2(wr_tmr_data_0_a2),
        .clk_c(clk_c)
);
  assign  rst_c_i = ~ rst_c;
  assign  dout_0_0_a4_0_i[0] = ~ dout_0_0_a4_0[0];
endmodule /* mips_dvc */

// VQM4.1+ 
module mips_sys (
  zz_addr_o,
  zz_din,
  zz_dout,
  zz_ins_i,
  zz_pc_o,
  zz_wr_en_o,
  clk,
  rst,
  ser_rxd,
  ser_txd,
  seg7led1,
  seg7led2,
  lcd_data,
  lcd_rs,
  lcd_rw,
  lcd_en,
  led1,
  led2,
  key1,
  key2
);
output [31:0] zz_addr_o /* synthesis syn_tristate = 1 */;
input [31:0] zz_din ;
output [31:0] zz_dout /* synthesis syn_tristate = 1 */;
input [31:0] zz_ins_i ;
output [31:0] zz_pc_o /* synthesis syn_tristate = 1 */;
output [3:0] zz_wr_en_o /* synthesis syn_tristate = 1 */;
input clk ;
input rst ;
input ser_rxd ;
output ser_txd /* synthesis syn_tristate = 1 */;
output [6:0] seg7led1 /* synthesis syn_tristate = 1 */;
output [6:0] seg7led2 /* synthesis syn_tristate = 1 */;
output [7:0] lcd_data /* synthesis syn_tristate = 1 */;
output lcd_rs /* synthesis syn_tristate = 1 */;
output lcd_rw /* synthesis syn_tristate = 1 */;
output lcd_en /* synthesis syn_tristate = 1 */;
output led1 /* synthesis syn_tristate = 1 */;
output led2 /* synthesis syn_tristate = 1 */;
input key1 ;
input key2 ;
wire clk ;
wire rst ;
wire ser_rxd ;
wire ser_txd ;
wire lcd_rs ;
wire lcd_rw ;
wire lcd_en ;
wire led1 ;
wire led2 ;
wire key1 ;
wire key2 ;
wire [31:0] i_mips_core_iRF_stage_i_pc_gen_pc_next_iv;
wire [31:16] i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0;
wire [31:17] i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a;
wire [16:16] i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_0;
wire [16:16] i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_a;
wire [30:0] i_mips_core_iRF_stage_rs_fwd_rs_dout_iv;
wire [31:0] i_mips_core_wb_mux_wb_o;
wire [30:0] i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1;
wire [31:0] i_mips_core_iexec_stage_MIPS_alu_c;
wire [3:3] i_mips_core_decoder_pipe_pipereg_U16_alu_func_o;
wire [0:0] i_mips_core_iexec_stage_MIPS_alu_c_1;
wire [31:2] i_mips_core_iRF_stage_rf_fwd_rt_dout_iv;
wire [31:2] i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1;
wire [31:0] sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv;
wire [31:0] sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a;
wire [16:0] i_mips_core_BUS7117_i_m;
wire [31:0] i_mips_core_alu_pass0_r32_o;
wire [2:0] i_mips_core_decoder_pipe_pipereg_U9_dmem_ctl_o;
wire [5:5] i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_count;
wire [3:0] imips_dvc_iuart0_uart_rd_tak_clk_ctr;
wire [3:3] imips_dvc_iuart0_uart_rd_tak_ua_state;
wire [31:1] i_mips_core_BUS15471_i_m;
wire [31:1] i_mips_core_rt_reg_r32_o;
wire [31:0] i_mips_core_ext_reg_r32_o;
wire [28:12] sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a;
wire [12:12] sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0;
wire [12:12] i_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a5;
wire [64:64] i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_hilo;
wire [31:0] zz_ins_i_c;
wire [31:0] zz_din_c;
wire [6:2] imips_dvc_cmd;
wire [7:0] imips_dvc_lcd_data;
wire [3:0] i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0;
wire [31:24] i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2;
wire [23:16] i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1;
wire [15:8] i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x;
wire [7:0] i_mips_core_iexec_stage_dmem_fw_mux_dout_2;
wire [31:0] i_mips_core_cop_data_reg_r32_o;
wire [31:0] imips_dvc_irq_addr_o;
wire [31:0] imips_dvc_dout;
wire VCC ;
wire GND ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add31 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add30 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add29 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add28 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add27 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add26 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add25 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add24 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add23 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add22 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add21 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add20 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add19 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add18 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add17 ;
wire i_mips_core_iRF_stage_i_pc_gen_un1_pc_add16 ;
wire i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2 ;
wire i_mips_core_iexec_stage_MIPS_alu_mips_alu_m50 ;
wire i_mips_core_iexec_stage_MIPS_alu_mips_alu_m51 ;
wire i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2 ;
wire i_mips_core_iexec_stage_i_alu_muxb_b_o_1_sqmuxa ;
wire G_176_x ;
wire rst_c ;
wire imips_dvc_iuart0_uart_txd_clk_ctr_equ15_0_a2 ;
wire G_295_x ;
wire imips_dvc_iuart0_uart_rd_tak_clk_ctr_equ15_0_a2 ;
wire G_319 ;
wire G_319_a ;
wire imips_dvc_wr_cmd_0_a2_0 ;
wire G_320 ;
wire imips_dvc_wr_tmr_data_0_a2_0_i ;
wire G_230 ;
wire G_230_a ;
wire i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_hilo25 ;
wire i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_overflow_2_sqmuxa ;
wire i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_finish ;
wire i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_start ;
wire i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_rdy ;
wire G_303 ;
wire G_303_a ;
wire imips_dvc_iuart0_uart_rd_tak_un1_clk_ctr_equ0_0_a2 ;
wire imips_dvc_iuart0_uart_rd_tak_un1_clk_ctr_equ0_0_a2_0 ;
wire i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa ;
wire i_mips_core_iexec_stage_i_alu_muxb_b_o18 ;
wire i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2 ;
wire G_311 ;
wire imips_dvc_iuart0_uart_txd_read_request_ff ;
wire imips_dvc_iuart0_uart_txd_bit_ctr23_i_0_o2 ;
wire I_159_a ;
wire i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_mul ;
wire i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_op2_sign_reged ;
wire key2_c ;
wire key1_c ;
wire ser_rxd_c ;
wire clk_c ;
wire imips_dvc_iseg7_cv_N_31_i ;
wire imips_dvc_iseg7_cv_N_29_i ;
wire imips_dvc_iseg7_cv_N_27_i ;
wire imips_dvc_iseg7_cv_m18 ;
wire imips_dvc_iseg7_cv_m15 ;
wire imips_dvc_iseg7_cv_m11 ;
wire imips_dvc_iseg7_cv_N_13_i ;
wire imips_dvc_iseg7_cv_N_62_i ;
wire imips_dvc_iseg7_cv_N_60_i ;
wire imips_dvc_iseg7_cv_N_58_i ;
wire imips_dvc_iseg7_cv_m18_0 ;
wire imips_dvc_iseg7_cv_m15_0 ;
wire imips_dvc_iseg7_cv_m11_0 ;
wire imips_dvc_iseg7_cv_N_44_i ;
wire imips_dvc_iuart0_uart_txd_txd ;
wire imips_dvc_wr_cmd_0_a2_1_21_a ;
wire imips_dvc_irq_req_o ;
//@1:1
  assign VCC = 1'b1;
//@1:1
  assign GND = 1'b0;
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_31_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[31]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[31]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[31]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add31),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_31_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_31_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_31_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_31_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_31_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_30_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[30]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[30]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[30]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add30),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_30_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_30_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_30_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_30_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_30_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_29_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[29]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[29]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[29]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add29),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_29_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_29_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_29_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_29_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_29_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_28_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[28]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[28]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[28]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add28),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_28_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_28_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_28_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_28_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_28_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_27_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[27]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[27]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[27]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add27),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_27_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_27_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_27_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_27_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_27_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_26_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[26]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[26]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[26]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add26),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_26_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_26_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_26_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_26_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_26_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_25_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[25]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[25]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[25]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add25),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_25_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_25_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_25_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_25_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_25_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_24_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[24]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[24]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[24]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add24),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_24_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_24_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_24_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_24_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_24_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_23_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[23]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[23]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[23]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add23),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_23_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_23_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_23_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_23_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_23_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_22_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[22]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[22]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[22]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add22),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_22_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_22_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_22_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_22_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_22_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_21_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[21]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[21]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[21]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add21),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_21_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_21_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_21_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_21_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_21_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_20_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[20]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[20]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[20]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add20),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_20_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_20_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_20_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_20_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_20_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_19_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[19]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[19]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[19]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add19),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_19_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_19_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_19_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_19_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_19_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_18_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[18]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[18]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[18]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add18),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_18_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_18_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_18_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_18_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_18_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_17_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[17]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[17]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[17]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add17),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_17_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_17_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_17_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_17_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_17_.sum_lutc_input="datac";
// @7:77
  cyclone_lcell reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_16_ (
        .combout(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[16]),
        .dataa(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_0[16]),
        .datab(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .datac(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_a[16]),
        .datad(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add16),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_16_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_16_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_16_.lut_mask="efaf";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_16_.synch_mode="off";
defparam reti_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_16_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_30_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[30]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[30]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_30_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_30_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_30_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_30_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_30_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_27_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[27]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[27]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_27_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_27_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_27_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_27_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_27_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_26_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[26]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[26]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_26_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_26_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_26_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_26_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_26_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_24_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[24]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[24]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_24_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_24_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_24_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_24_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_24_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_22_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[22]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[22]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_22_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_22_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_22_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_22_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_22_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_19_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[19]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[19]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_19_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_19_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_19_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_19_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_19_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_18_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[18]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[18]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_18_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_18_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_18_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_18_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_18_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_16_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[16]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[16]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_16_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_16_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_16_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_16_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_16_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_14_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[14]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[14]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_14_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_14_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_14_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_14_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_14_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_13_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[13]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[13]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_13_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_13_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_13_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_13_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_13_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_11_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[11]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[11]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_11_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_11_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_11_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_11_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_11_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_10_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[10]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[10]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_10_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_10_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_10_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_10_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_10_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_4_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[4]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[4]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_4_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_4_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_4_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_4_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_4_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_0_ (
        .combout(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[0]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[0]),
        .datac(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_0_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_0_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_0_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_0_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rs_fwd_rs_dout_iv_0_.sum_lutc_input="datac";
// @5:153
  cyclone_lcell reti_mips_core_iexec_stage_MIPS_alu_c_0_ (
        .combout(i_mips_core_iexec_stage_MIPS_alu_c[0]),
        .dataa(i_mips_core_decoder_pipe_pipereg_U16_alu_func_o[3]),
        .datab(i_mips_core_iexec_stage_MIPS_alu_mips_alu_m50),
        .datac(i_mips_core_iexec_stage_MIPS_alu_c_1[0]),
        .datad(i_mips_core_iexec_stage_MIPS_alu_mips_alu_m51),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iexec_stage_MIPS_alu_c_0_.operation_mode="normal";
defparam reti_mips_core_iexec_stage_MIPS_alu_c_0_.output_mode="comb_only";
defparam reti_mips_core_iexec_stage_MIPS_alu_c_0_.lut_mask="fdf8";
defparam reti_mips_core_iexec_stage_MIPS_alu_c_0_.synch_mode="off";
defparam reti_mips_core_iexec_stage_MIPS_alu_c_0_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_31_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[31]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[31]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_31_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_31_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_31_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_31_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_31_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_30_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[30]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[30]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[30]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_30_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_30_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_30_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_30_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_30_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_28_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[28]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[28]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[28]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_28_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_28_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_28_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_28_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_28_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_27_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[27]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[27]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[27]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_27_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_27_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_27_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_27_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_27_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_26_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[26]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[26]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[26]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_26_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_26_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_26_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_26_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_26_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_25_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[25]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[25]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[25]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_25_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_25_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_25_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_25_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_25_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_24_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[24]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[24]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[24]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_24_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_24_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_24_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_24_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_24_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_22_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[22]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[22]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_22_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_22_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_22_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_22_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_22_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_19_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[19]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[19]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_19_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_19_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_19_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_19_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_19_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_18_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[18]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[18]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_18_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_18_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_18_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_18_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_18_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_15_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[15]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[15]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_15_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_15_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_15_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_15_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_15_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_14_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[14]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[14]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_14_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_14_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_14_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_14_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_14_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_11_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[11]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[11]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_11_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_11_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_11_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_11_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_11_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_10_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[10]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[10]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_10_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_10_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_10_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_10_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_10_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_8_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[8]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[8]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_8_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_8_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_8_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_8_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_8_.sum_lutc_input="datac";
// @12:46
  cyclone_lcell reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_2_ (
        .combout(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[2]),
        .dataa(VCC),
        .datab(i_mips_core_wb_mux_wb_o[2]),
        .datac(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .datad(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_2_.operation_mode="normal";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_2_.output_mode="comb_only";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_2_.lut_mask="ffc0";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_2_.synch_mode="off";
defparam reti_mips_core_iRF_stage_rf_fwd_rt_dout_iv_2_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell retsclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[0]),
        .dataa(i_mips_core_wb_mux_wb_o[0]),
        .datab(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[0]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o_1_sqmuxa),
        .datad(i_mips_core_BUS7117_i_m[0]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam retsclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_.operation_mode="normal";
defparam retsclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_.output_mode="comb_only";
defparam retsclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_.lut_mask="0023";
defparam retsclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_.synch_mode="off";
defparam retsclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_.sum_lutc_input="datac";
  cyclone_lcell G_176_x_cZ (
        .combout(G_176_x),
        .dataa(rst_c),
        .datab(imips_dvc_iuart0_uart_txd_clk_ctr_equ15_0_a2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_176_x_cZ.operation_mode="normal";
defparam G_176_x_cZ.output_mode="comb_only";
defparam G_176_x_cZ.lut_mask="dddd";
defparam G_176_x_cZ.synch_mode="off";
defparam G_176_x_cZ.sum_lutc_input="datac";
  cyclone_lcell G_295_x_cZ (
        .combout(G_295_x),
        .dataa(rst_c),
        .datab(imips_dvc_iuart0_uart_rd_tak_clk_ctr_equ15_0_a2),
        .datac(VCC),
        .datad(VCC),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_295_x_cZ.operation_mode="normal";
defparam G_295_x_cZ.output_mode="comb_only";
defparam G_295_x_cZ.lut_mask="dddd";
defparam G_295_x_cZ.synch_mode="off";
defparam G_295_x_cZ.sum_lutc_input="datac";
  cyclone_lcell G_319_cZ (
        .combout(G_319),
        .dataa(rst_c),
        .datab(G_319_a),
        .datac(i_mips_core_alu_pass0_r32_o[5]),
        .datad(imips_dvc_wr_cmd_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_319_cZ.operation_mode="normal";
defparam G_319_cZ.output_mode="comb_only";
defparam G_319_cZ.lut_mask="5d55";
defparam G_319_cZ.synch_mode="off";
defparam G_319_cZ.sum_lutc_input="datac";
  cyclone_lcell G_319_a_cZ (
        .combout(G_319_a),
        .dataa(i_mips_core_decoder_pipe_pipereg_U9_dmem_ctl_o[2]),
        .datab(i_mips_core_alu_pass0_r32_o[4]),
        .datac(i_mips_core_alu_pass0_r32_o[2]),
        .datad(i_mips_core_alu_pass0_r32_o[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_319_a_cZ.operation_mode="normal";
defparam G_319_a_cZ.output_mode="comb_only";
defparam G_319_a_cZ.lut_mask="4000";
defparam G_319_a_cZ.synch_mode="off";
defparam G_319_a_cZ.sum_lutc_input="datac";
  cyclone_lcell G_320_cZ (
        .combout(G_320),
        .dataa(rst_c),
        .datab(imips_dvc_wr_tmr_data_0_a2_0_i),
        .datac(i_mips_core_alu_pass0_r32_o[5]),
        .datad(imips_dvc_wr_cmd_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_320_cZ.operation_mode="normal";
defparam G_320_cZ.output_mode="comb_only";
defparam G_320_cZ.lut_mask="5755";
defparam G_320_cZ.synch_mode="off";
defparam G_320_cZ.sum_lutc_input="datac";
  cyclone_lcell G_230_cZ (
        .combout(G_230),
        .dataa(rst_c),
        .datab(G_230_a),
        .datac(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_hilo25),
        .datad(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_overflow_2_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_230_cZ.operation_mode="normal";
defparam G_230_cZ.output_mode="comb_only";
defparam G_230_cZ.lut_mask="55f7";
defparam G_230_cZ.synch_mode="off";
defparam G_230_cZ.sum_lutc_input="datac";
  cyclone_lcell G_230_a_cZ (
        .combout(G_230_a),
        .dataa(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_finish),
        .datab(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_start),
        .datac(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_count[5]),
        .datad(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_rdy),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_230_a_cZ.operation_mode="normal";
defparam G_230_a_cZ.output_mode="comb_only";
defparam G_230_a_cZ.lut_mask="0073";
defparam G_230_a_cZ.synch_mode="off";
defparam G_230_a_cZ.sum_lutc_input="datac";
  cyclone_lcell G_303_cZ (
        .combout(G_303),
        .dataa(rst_c),
        .datab(G_303_a),
        .datac(imips_dvc_iuart0_uart_rd_tak_un1_clk_ctr_equ0_0_a2),
        .datad(imips_dvc_iuart0_uart_rd_tak_un1_clk_ctr_equ0_0_a2_0),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_303_cZ.operation_mode="normal";
defparam G_303_cZ.output_mode="comb_only";
defparam G_303_cZ.lut_mask="d555";
defparam G_303_cZ.synch_mode="off";
defparam G_303_cZ.sum_lutc_input="datac";
  cyclone_lcell G_303_a_cZ (
        .combout(G_303_a),
        .dataa(imips_dvc_iuart0_uart_rd_tak_clk_ctr[3]),
        .datab(imips_dvc_iuart0_uart_rd_tak_clk_ctr[2]),
        .datac(imips_dvc_iuart0_uart_rd_tak_clk_ctr[0]),
        .datad(imips_dvc_iuart0_uart_rd_tak_ua_state[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_303_a_cZ.operation_mode="normal";
defparam G_303_a_cZ.output_mode="comb_only";
defparam G_303_a_cZ.lut_mask="0200";
defparam G_303_a_cZ.synch_mode="off";
defparam G_303_a_cZ.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_31_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[31]),
        .dataa(i_mips_core_alu_pass0_r32_o[31]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[31]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[31]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_31_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_31_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_31_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_31_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_31_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_31_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[31]),
        .dataa(i_mips_core_rt_reg_r32_o[31]),
        .datab(i_mips_core_ext_reg_r32_o[31]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_31_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_31_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_31_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_31_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_31_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_30_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[30]),
        .dataa(i_mips_core_rt_reg_r32_o[30]),
        .datab(i_mips_core_ext_reg_r32_o[30]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_30_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_30_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_30_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_30_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_30_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_29_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[29]),
        .dataa(i_mips_core_rt_reg_r32_o[29]),
        .datab(i_mips_core_ext_reg_r32_o[29]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_29_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_29_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_29_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_29_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_29_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_28_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a[28]),
        .dataa(i_mips_core_ext_reg_r32_o[28]),
        .datab(i_mips_core_alu_pass0_r32_o[28]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_28_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_28_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_28_.lut_mask="7350";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_28_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_28_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_27_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a[27]),
        .dataa(i_mips_core_ext_reg_r32_o[27]),
        .datab(i_mips_core_rt_reg_r32_o[27]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_27_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_27_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_27_.lut_mask="7350";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_27_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_27_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_26_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[26]),
        .dataa(i_mips_core_rt_reg_r32_o[26]),
        .datab(i_mips_core_ext_reg_r32_o[26]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_26_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_26_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_26_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_26_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_26_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_25_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[25]),
        .dataa(i_mips_core_rt_reg_r32_o[25]),
        .datab(i_mips_core_ext_reg_r32_o[25]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_25_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_25_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_25_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_25_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_25_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_24_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[24]),
        .dataa(i_mips_core_rt_reg_r32_o[24]),
        .datab(i_mips_core_ext_reg_r32_o[24]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_24_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_24_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_24_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_24_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_24_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_23_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[23]),
        .dataa(i_mips_core_rt_reg_r32_o[23]),
        .datab(i_mips_core_ext_reg_r32_o[23]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_23_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_23_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_23_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_23_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_23_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_22_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[22]),
        .dataa(i_mips_core_alu_pass0_r32_o[22]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[22]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[22]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_22_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_22_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_22_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_22_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_22_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_22_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[22]),
        .dataa(i_mips_core_rt_reg_r32_o[22]),
        .datab(i_mips_core_ext_reg_r32_o[22]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_22_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_22_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_22_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_22_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_22_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_21_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[21]),
        .dataa(i_mips_core_rt_reg_r32_o[21]),
        .datab(i_mips_core_ext_reg_r32_o[21]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_21_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_21_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_21_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_21_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_21_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_20_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[20]),
        .dataa(i_mips_core_alu_pass0_r32_o[20]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[20]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[20]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_20_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_20_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_20_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_20_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_20_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_20_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[20]),
        .dataa(i_mips_core_rt_reg_r32_o[20]),
        .datab(i_mips_core_ext_reg_r32_o[20]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_20_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_20_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_20_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_20_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_20_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_19_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[19]),
        .dataa(i_mips_core_alu_pass0_r32_o[19]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[19]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[19]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_19_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_19_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_19_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_19_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_19_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_19_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[19]),
        .dataa(i_mips_core_rt_reg_r32_o[19]),
        .datab(i_mips_core_ext_reg_r32_o[19]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_19_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_19_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_19_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_19_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_19_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_18_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[18]),
        .dataa(i_mips_core_alu_pass0_r32_o[18]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[18]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[18]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_18_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_18_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_18_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_18_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_18_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_18_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[18]),
        .dataa(i_mips_core_rt_reg_r32_o[18]),
        .datab(i_mips_core_ext_reg_r32_o[18]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_18_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_18_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_18_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_18_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_18_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_17_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[17]),
        .dataa(i_mips_core_alu_pass0_r32_o[17]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[17]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[17]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_17_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_17_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_17_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_17_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_17_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_17_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[17]),
        .dataa(i_mips_core_rt_reg_r32_o[17]),
        .datab(i_mips_core_ext_reg_r32_o[17]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_17_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_17_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_17_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_17_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_17_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_16_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[16]),
        .dataa(i_mips_core_wb_mux_wb_o[16]),
        .datab(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[16]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o_1_sqmuxa),
        .datad(i_mips_core_BUS7117_i_m[16]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_16_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_16_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_16_.lut_mask="0023";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_16_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_16_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_16_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[16]),
        .dataa(i_mips_core_ext_reg_r32_o[16]),
        .datab(i_mips_core_alu_pass0_r32_o[16]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_16_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_16_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_16_.lut_mask="7350";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_16_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_16_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_15_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[15]),
        .dataa(i_mips_core_alu_pass0_r32_o[15]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[15]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[15]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_15_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_15_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_15_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_15_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_15_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_15_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[15]),
        .dataa(i_mips_core_rt_reg_r32_o[15]),
        .datab(i_mips_core_ext_reg_r32_o[15]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_15_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_15_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_15_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_15_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_15_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_14_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[14]),
        .dataa(i_mips_core_alu_pass0_r32_o[14]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[14]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[14]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_14_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_14_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_14_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_14_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_14_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_14_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[14]),
        .dataa(i_mips_core_rt_reg_r32_o[14]),
        .datab(i_mips_core_ext_reg_r32_o[14]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_14_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_14_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_14_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_14_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_14_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_13_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[13]),
        .dataa(i_mips_core_alu_pass0_r32_o[13]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[13]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[13]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_13_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_13_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_13_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_13_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_13_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_13_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[13]),
        .dataa(i_mips_core_rt_reg_r32_o[13]),
        .datab(i_mips_core_ext_reg_r32_o[13]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_13_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_13_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_13_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_13_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_13_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_12_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0[12]),
        .dataa(i_mips_core_alu_pass0_r32_o[12]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a5[12]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a[12]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_12_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_12_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_12_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_12_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_12_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_12_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a[12]),
        .dataa(i_mips_core_ext_reg_r32_o[12]),
        .datab(i_mips_core_rt_reg_r32_o[12]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_12_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_12_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_12_.lut_mask="7350";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_12_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a_12_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_11_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[11]),
        .dataa(i_mips_core_alu_pass0_r32_o[11]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[11]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[11]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_11_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_11_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_11_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_11_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_11_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_11_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[11]),
        .dataa(i_mips_core_rt_reg_r32_o[11]),
        .datab(i_mips_core_ext_reg_r32_o[11]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_11_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_11_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_11_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_11_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_11_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_10_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[10]),
        .dataa(i_mips_core_alu_pass0_r32_o[10]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[10]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[10]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_10_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_10_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_10_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_10_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_10_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_10_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[10]),
        .dataa(i_mips_core_rt_reg_r32_o[10]),
        .datab(i_mips_core_ext_reg_r32_o[10]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_10_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_10_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_10_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_10_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_10_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_9_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[9]),
        .dataa(i_mips_core_alu_pass0_r32_o[9]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[9]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[9]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_9_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_9_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_9_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_9_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_9_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_9_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[9]),
        .dataa(i_mips_core_rt_reg_r32_o[9]),
        .datab(i_mips_core_ext_reg_r32_o[9]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_9_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_9_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_9_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_9_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_9_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_8_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[8]),
        .dataa(i_mips_core_alu_pass0_r32_o[8]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[8]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[8]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_8_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_8_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_8_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_8_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_8_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_8_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[8]),
        .dataa(i_mips_core_rt_reg_r32_o[8]),
        .datab(i_mips_core_ext_reg_r32_o[8]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_8_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_8_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_8_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_8_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_8_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_7_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[7]),
        .dataa(i_mips_core_alu_pass0_r32_o[7]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[7]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[7]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_7_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_7_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_7_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_7_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_7_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_7_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[7]),
        .dataa(i_mips_core_rt_reg_r32_o[7]),
        .datab(i_mips_core_ext_reg_r32_o[7]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_7_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_7_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_7_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_7_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_7_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_6_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[6]),
        .dataa(i_mips_core_alu_pass0_r32_o[6]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[6]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[6]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_6_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_6_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_6_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_6_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_6_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_6_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[6]),
        .dataa(i_mips_core_rt_reg_r32_o[6]),
        .datab(i_mips_core_ext_reg_r32_o[6]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_6_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_6_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_6_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_6_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_6_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_5_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[5]),
        .dataa(i_mips_core_alu_pass0_r32_o[5]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[5]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[5]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_5_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_5_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_5_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_5_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_5_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_5_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[5]),
        .dataa(i_mips_core_rt_reg_r32_o[5]),
        .datab(i_mips_core_ext_reg_r32_o[5]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_5_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_5_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_5_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_5_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_5_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_4_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[4]),
        .dataa(i_mips_core_wb_mux_wb_o[4]),
        .datab(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[4]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o_1_sqmuxa),
        .datad(i_mips_core_BUS7117_i_m[4]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_4_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_4_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_4_.lut_mask="0023";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_4_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_4_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_4_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[4]),
        .dataa(i_mips_core_ext_reg_r32_o[4]),
        .datab(i_mips_core_alu_pass0_r32_o[4]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_4_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_4_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_4_.lut_mask="7350";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_4_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_4_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_3_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[3]),
        .dataa(i_mips_core_alu_pass0_r32_o[3]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[3]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[3]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_3_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_3_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_3_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_3_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_3_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_3_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[3]),
        .dataa(i_mips_core_rt_reg_r32_o[3]),
        .datab(i_mips_core_ext_reg_r32_o[3]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_3_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_3_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_3_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_3_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_3_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_2_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[2]),
        .dataa(i_mips_core_alu_pass0_r32_o[2]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[2]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[2]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_2_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_2_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_2_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_2_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_2_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_2_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[2]),
        .dataa(i_mips_core_rt_reg_r32_o[2]),
        .datab(i_mips_core_ext_reg_r32_o[2]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_2_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_2_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_2_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_2_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_2_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_1_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[1]),
        .dataa(i_mips_core_alu_pass0_r32_o[1]),
        .datab(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .datac(i_mips_core_BUS15471_i_m[1]),
        .datad(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[1]),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_1_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_1_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_1_.lut_mask="000b";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_1_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_1_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_1_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[1]),
        .dataa(i_mips_core_rt_reg_r32_o[1]),
        .datab(i_mips_core_ext_reg_r32_o[1]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_1_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_1_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_1_.lut_mask="7530";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_1_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_1_.sum_lutc_input="datac";
// @5:227
  cyclone_lcell sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_0_ (
        .combout(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[0]),
        .dataa(i_mips_core_ext_reg_r32_o[0]),
        .datab(i_mips_core_alu_pass0_r32_o[0]),
        .datac(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .datad(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_0_.operation_mode="normal";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_0_.output_mode="comb_only";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_0_.lut_mask="7350";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_0_.synch_mode="off";
defparam sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a_0_.sum_lutc_input="datac";
  cyclone_lcell G_311_cZ (
        .combout(G_311),
        .dataa(rst_c),
        .datab(imips_dvc_iuart0_uart_txd_read_request_ff),
        .datac(imips_dvc_iuart0_uart_txd_bit_ctr23_i_0_o2),
        .datad(imips_dvc_iuart0_uart_txd_clk_ctr_equ15_0_a2),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam G_311_cZ.operation_mode="normal";
defparam G_311_cZ.output_mode="comb_only";
defparam G_311_cZ.lut_mask="fddd";
defparam G_311_cZ.synch_mode="off";
defparam G_311_cZ.sum_lutc_input="datac";
  cyclone_lcell I_159_a_cZ (
        .combout(I_159_a),
        .dataa(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_mul),
        .datab(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_rdy),
        .datac(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_hilo[64]),
        .datad(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_op2_sign_reged),
        .aclr(GND),
        .sclr(GND),
        .sload(GND),
        .ena(VCC),
        .inverta(GND),
        .aload(GND),
        .regcascin(GND)
);
defparam I_159_a_cZ.operation_mode="normal";
defparam I_159_a_cZ.output_mode="comb_only";
defparam I_159_a_cZ.lut_mask="3223";
defparam I_159_a_cZ.synch_mode="off";
defparam I_159_a_cZ.sum_lutc_input="datac";
// @16:49
  cyclone_io key2_in (
        .padio(key2),
        .combout(key2_c),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam key2_in.operation_mode = "input";
// @16:48
  cyclone_io key1_in (
        .padio(key1),
        .combout(key1_c),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam key1_in.operation_mode = "input";
// @16:84
  cyclone_io ser_rxd_in (
        .padio(ser_rxd),
        .combout(ser_rxd_c),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam ser_rxd_in.operation_mode = "input";
// @16:54
  cyclone_io rst_in (
        .padio(rst),
        .combout(rst_c),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam rst_in.operation_mode = "input";
// @16:51
  cyclone_io clk_in (
        .padio(clk),
        .combout(clk_c),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam clk_in.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_31_ (
        .padio(zz_ins_i[31]),
        .combout(zz_ins_i_c[31]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_31_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_30_ (
        .padio(zz_ins_i[30]),
        .combout(zz_ins_i_c[30]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_30_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_29_ (
        .padio(zz_ins_i[29]),
        .combout(zz_ins_i_c[29]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_29_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_28_ (
        .padio(zz_ins_i[28]),
        .combout(zz_ins_i_c[28]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_28_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_27_ (
        .padio(zz_ins_i[27]),
        .combout(zz_ins_i_c[27]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_27_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_26_ (
        .padio(zz_ins_i[26]),
        .combout(zz_ins_i_c[26]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_26_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_25_ (
        .padio(zz_ins_i[25]),
        .combout(zz_ins_i_c[25]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_25_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_24_ (
        .padio(zz_ins_i[24]),
        .combout(zz_ins_i_c[24]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_24_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_23_ (
        .padio(zz_ins_i[23]),
        .combout(zz_ins_i_c[23]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_23_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_22_ (
        .padio(zz_ins_i[22]),
        .combout(zz_ins_i_c[22]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_22_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_21_ (
        .padio(zz_ins_i[21]),
        .combout(zz_ins_i_c[21]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_21_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_20_ (
        .padio(zz_ins_i[20]),
        .combout(zz_ins_i_c[20]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_20_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_19_ (
        .padio(zz_ins_i[19]),
        .combout(zz_ins_i_c[19]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_19_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_18_ (
        .padio(zz_ins_i[18]),
        .combout(zz_ins_i_c[18]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_18_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_17_ (
        .padio(zz_ins_i[17]),
        .combout(zz_ins_i_c[17]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_17_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_16_ (
        .padio(zz_ins_i[16]),
        .combout(zz_ins_i_c[16]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_16_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_15_ (
        .padio(zz_ins_i[15]),
        .combout(zz_ins_i_c[15]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_15_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_14_ (
        .padio(zz_ins_i[14]),
        .combout(zz_ins_i_c[14]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_14_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_13_ (
        .padio(zz_ins_i[13]),
        .combout(zz_ins_i_c[13]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_13_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_12_ (
        .padio(zz_ins_i[12]),
        .combout(zz_ins_i_c[12]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_12_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_11_ (
        .padio(zz_ins_i[11]),
        .combout(zz_ins_i_c[11]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_11_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_10_ (
        .padio(zz_ins_i[10]),
        .combout(zz_ins_i_c[10]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_10_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_9_ (
        .padio(zz_ins_i[9]),
        .combout(zz_ins_i_c[9]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_9_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_8_ (
        .padio(zz_ins_i[8]),
        .combout(zz_ins_i_c[8]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_8_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_7_ (
        .padio(zz_ins_i[7]),
        .combout(zz_ins_i_c[7]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_7_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_6_ (
        .padio(zz_ins_i[6]),
        .combout(zz_ins_i_c[6]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_6_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_5_ (
        .padio(zz_ins_i[5]),
        .combout(zz_ins_i_c[5]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_5_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_4_ (
        .padio(zz_ins_i[4]),
        .combout(zz_ins_i_c[4]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_4_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_3_ (
        .padio(zz_ins_i[3]),
        .combout(zz_ins_i_c[3]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_3_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_2_ (
        .padio(zz_ins_i[2]),
        .combout(zz_ins_i_c[2]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_2_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_1_ (
        .padio(zz_ins_i[1]),
        .combout(zz_ins_i_c[1]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_1_.operation_mode = "input";
// @16:73
  cyclone_io zz_ins_i_in_0_ (
        .padio(zz_ins_i[0]),
        .combout(zz_ins_i_c[0]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_ins_i_in_0_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_31_ (
        .padio(zz_din[31]),
        .combout(zz_din_c[31]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_31_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_30_ (
        .padio(zz_din[30]),
        .combout(zz_din_c[30]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_30_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_29_ (
        .padio(zz_din[29]),
        .combout(zz_din_c[29]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_29_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_28_ (
        .padio(zz_din[28]),
        .combout(zz_din_c[28]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_28_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_27_ (
        .padio(zz_din[27]),
        .combout(zz_din_c[27]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_27_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_26_ (
        .padio(zz_din[26]),
        .combout(zz_din_c[26]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_26_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_25_ (
        .padio(zz_din[25]),
        .combout(zz_din_c[25]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_25_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_24_ (
        .padio(zz_din[24]),
        .combout(zz_din_c[24]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_24_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_23_ (
        .padio(zz_din[23]),
        .combout(zz_din_c[23]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_23_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_22_ (
        .padio(zz_din[22]),
        .combout(zz_din_c[22]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_22_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_21_ (
        .padio(zz_din[21]),
        .combout(zz_din_c[21]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_21_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_20_ (
        .padio(zz_din[20]),
        .combout(zz_din_c[20]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_20_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_19_ (
        .padio(zz_din[19]),
        .combout(zz_din_c[19]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_19_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_18_ (
        .padio(zz_din[18]),
        .combout(zz_din_c[18]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_18_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_17_ (
        .padio(zz_din[17]),
        .combout(zz_din_c[17]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_17_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_16_ (
        .padio(zz_din[16]),
        .combout(zz_din_c[16]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_16_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_15_ (
        .padio(zz_din[15]),
        .combout(zz_din_c[15]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_15_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_14_ (
        .padio(zz_din[14]),
        .combout(zz_din_c[14]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_14_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_13_ (
        .padio(zz_din[13]),
        .combout(zz_din_c[13]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_13_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_12_ (
        .padio(zz_din[12]),
        .combout(zz_din_c[12]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_12_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_11_ (
        .padio(zz_din[11]),
        .combout(zz_din_c[11]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_11_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_10_ (
        .padio(zz_din[10]),
        .combout(zz_din_c[10]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_10_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_9_ (
        .padio(zz_din[9]),
        .combout(zz_din_c[9]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_9_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_8_ (
        .padio(zz_din[8]),
        .combout(zz_din_c[8]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_8_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_7_ (
        .padio(zz_din[7]),
        .combout(zz_din_c[7]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_7_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_6_ (
        .padio(zz_din[6]),
        .combout(zz_din_c[6]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_6_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_5_ (
        .padio(zz_din[5]),
        .combout(zz_din_c[5]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_5_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_4_ (
        .padio(zz_din[4]),
        .combout(zz_din_c[4]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_4_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_3_ (
        .padio(zz_din[3]),
        .combout(zz_din_c[3]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_3_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_2_ (
        .padio(zz_din[2]),
        .combout(zz_din_c[2]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_2_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_1_ (
        .padio(zz_din[1]),
        .combout(zz_din_c[1]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_1_.operation_mode = "input";
// @16:71
  cyclone_io zz_din_in_0_ (
        .padio(zz_din[0]),
        .combout(zz_din_c[0]),
        .datain(GND),
        .oe(GND),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_din_in_0_.operation_mode = "input";
// @16:68
  cyclone_io led2_out (
        .padio(led2),
        .datain(imips_dvc_cmd[6]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam led2_out.operation_mode = "output";
// @16:67
  cyclone_io led1_out (
        .padio(led1),
        .datain(imips_dvc_cmd[5]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam led1_out.operation_mode = "output";
// @16:66
  cyclone_io lcd_en_out (
        .padio(lcd_en),
        .datain(imips_dvc_cmd[4]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_en_out.operation_mode = "output";
// @16:65
  cyclone_io lcd_rw_out (
        .padio(lcd_rw),
        .datain(imips_dvc_cmd[3]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_rw_out.operation_mode = "output";
// @16:64
  cyclone_io lcd_rs_out (
        .padio(lcd_rs),
        .datain(imips_dvc_cmd[2]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_rs_out.operation_mode = "output";
// @16:63
  cyclone_io lcd_data_out_7_ (
        .padio(lcd_data[7]),
        .datain(imips_dvc_lcd_data[7]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_data_out_7_.operation_mode = "output";
// @16:63
  cyclone_io lcd_data_out_6_ (
        .padio(lcd_data[6]),
        .datain(imips_dvc_lcd_data[6]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_data_out_6_.operation_mode = "output";
// @16:63
  cyclone_io lcd_data_out_5_ (
        .padio(lcd_data[5]),
        .datain(imips_dvc_lcd_data[5]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_data_out_5_.operation_mode = "output";
// @16:63
  cyclone_io lcd_data_out_4_ (
        .padio(lcd_data[4]),
        .datain(imips_dvc_lcd_data[4]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_data_out_4_.operation_mode = "output";
// @16:63
  cyclone_io lcd_data_out_3_ (
        .padio(lcd_data[3]),
        .datain(imips_dvc_lcd_data[3]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_data_out_3_.operation_mode = "output";
// @16:63
  cyclone_io lcd_data_out_2_ (
        .padio(lcd_data[2]),
        .datain(imips_dvc_lcd_data[2]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_data_out_2_.operation_mode = "output";
// @16:63
  cyclone_io lcd_data_out_1_ (
        .padio(lcd_data[1]),
        .datain(imips_dvc_lcd_data[1]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_data_out_1_.operation_mode = "output";
// @16:63
  cyclone_io lcd_data_out_0_ (
        .padio(lcd_data[0]),
        .datain(imips_dvc_lcd_data[0]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam lcd_data_out_0_.operation_mode = "output";
// @16:60
  cyclone_io seg7led2_out_6_ (
        .padio(seg7led2[6]),
        .datain(imips_dvc_iseg7_cv_N_31_i),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led2_out_6_.operation_mode = "output";
// @16:60
  cyclone_io seg7led2_out_5_ (
        .padio(seg7led2[5]),
        .datain(imips_dvc_iseg7_cv_N_29_i),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led2_out_5_.operation_mode = "output";
// @16:60
  cyclone_io seg7led2_out_4_ (
        .padio(seg7led2[4]),
        .datain(imips_dvc_iseg7_cv_N_27_i),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led2_out_4_.operation_mode = "output";
// @16:60
  cyclone_io seg7led2_out_3_ (
        .padio(seg7led2[3]),
        .datain(imips_dvc_iseg7_cv_m18),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led2_out_3_.operation_mode = "output";
// @16:60
  cyclone_io seg7led2_out_2_ (
        .padio(seg7led2[2]),
        .datain(imips_dvc_iseg7_cv_m15),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led2_out_2_.operation_mode = "output";
// @16:60
  cyclone_io seg7led2_out_1_ (
        .padio(seg7led2[1]),
        .datain(imips_dvc_iseg7_cv_m11),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led2_out_1_.operation_mode = "output";
// @16:60
  cyclone_io seg7led2_out_0_ (
        .padio(seg7led2[0]),
        .datain(imips_dvc_iseg7_cv_N_13_i),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led2_out_0_.operation_mode = "output";
// @16:58
  cyclone_io seg7led1_out_6_ (
        .padio(seg7led1[6]),
        .datain(imips_dvc_iseg7_cv_N_62_i),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led1_out_6_.operation_mode = "output";
// @16:58
  cyclone_io seg7led1_out_5_ (
        .padio(seg7led1[5]),
        .datain(imips_dvc_iseg7_cv_N_60_i),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led1_out_5_.operation_mode = "output";
// @16:58
  cyclone_io seg7led1_out_4_ (
        .padio(seg7led1[4]),
        .datain(imips_dvc_iseg7_cv_N_58_i),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led1_out_4_.operation_mode = "output";
// @16:58
  cyclone_io seg7led1_out_3_ (
        .padio(seg7led1[3]),
        .datain(imips_dvc_iseg7_cv_m18_0),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led1_out_3_.operation_mode = "output";
// @16:58
  cyclone_io seg7led1_out_2_ (
        .padio(seg7led1[2]),
        .datain(imips_dvc_iseg7_cv_m15_0),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led1_out_2_.operation_mode = "output";
// @16:58
  cyclone_io seg7led1_out_1_ (
        .padio(seg7led1[1]),
        .datain(imips_dvc_iseg7_cv_m11_0),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led1_out_1_.operation_mode = "output";
// @16:58
  cyclone_io seg7led1_out_0_ (
        .padio(seg7led1[0]),
        .datain(imips_dvc_iseg7_cv_N_44_i),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam seg7led1_out_0_.operation_mode = "output";
// @16:85
  cyclone_io ser_txd_out (
        .padio(ser_txd),
        .datain(imips_dvc_iuart0_uart_txd_txd),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam ser_txd_out.operation_mode = "output";
// @16:81
  cyclone_io zz_wr_en_o_out_3_ (
        .padio(zz_wr_en_o[3]),
        .datain(i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0[3]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_wr_en_o_out_3_.operation_mode = "output";
// @16:81
  cyclone_io zz_wr_en_o_out_2_ (
        .padio(zz_wr_en_o[2]),
        .datain(i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0[2]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_wr_en_o_out_2_.operation_mode = "output";
// @16:81
  cyclone_io zz_wr_en_o_out_1_ (
        .padio(zz_wr_en_o[1]),
        .datain(i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0[1]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_wr_en_o_out_1_.operation_mode = "output";
// @16:81
  cyclone_io zz_wr_en_o_out_0_ (
        .padio(zz_wr_en_o[0]),
        .datain(i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0[0]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_wr_en_o_out_0_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_31_ (
        .padio(zz_pc_o[31]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[31]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_31_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_30_ (
        .padio(zz_pc_o[30]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[30]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_30_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_29_ (
        .padio(zz_pc_o[29]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[29]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_29_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_28_ (
        .padio(zz_pc_o[28]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[28]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_28_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_27_ (
        .padio(zz_pc_o[27]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[27]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_27_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_26_ (
        .padio(zz_pc_o[26]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[26]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_26_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_25_ (
        .padio(zz_pc_o[25]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[25]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_25_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_24_ (
        .padio(zz_pc_o[24]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[24]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_24_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_23_ (
        .padio(zz_pc_o[23]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[23]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_23_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_22_ (
        .padio(zz_pc_o[22]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[22]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_22_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_21_ (
        .padio(zz_pc_o[21]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[21]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_21_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_20_ (
        .padio(zz_pc_o[20]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[20]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_20_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_19_ (
        .padio(zz_pc_o[19]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[19]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_19_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_18_ (
        .padio(zz_pc_o[18]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[18]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_18_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_17_ (
        .padio(zz_pc_o[17]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[17]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_17_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_16_ (
        .padio(zz_pc_o[16]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[16]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_16_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_15_ (
        .padio(zz_pc_o[15]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[15]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_15_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_14_ (
        .padio(zz_pc_o[14]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[14]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_14_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_13_ (
        .padio(zz_pc_o[13]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[13]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_13_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_12_ (
        .padio(zz_pc_o[12]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[12]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_12_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_11_ (
        .padio(zz_pc_o[11]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[11]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_11_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_10_ (
        .padio(zz_pc_o[10]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[10]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_10_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_9_ (
        .padio(zz_pc_o[9]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[9]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_9_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_8_ (
        .padio(zz_pc_o[8]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[8]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_8_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_7_ (
        .padio(zz_pc_o[7]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[7]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_7_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_6_ (
        .padio(zz_pc_o[6]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[6]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_6_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_5_ (
        .padio(zz_pc_o[5]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[5]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_5_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_4_ (
        .padio(zz_pc_o[4]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[4]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_4_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_3_ (
        .padio(zz_pc_o[3]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[3]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_3_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_2_ (
        .padio(zz_pc_o[2]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[2]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_2_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_1_ (
        .padio(zz_pc_o[1]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[1]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_1_.operation_mode = "output";
// @16:79
  cyclone_io zz_pc_o_out_0_ (
        .padio(zz_pc_o[0]),
        .datain(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[0]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_pc_o_out_0_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_31_ (
        .padio(zz_dout[31]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[31]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_31_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_30_ (
        .padio(zz_dout[30]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[30]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_30_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_29_ (
        .padio(zz_dout[29]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[29]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_29_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_28_ (
        .padio(zz_dout[28]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[28]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_28_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_27_ (
        .padio(zz_dout[27]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[27]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_27_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_26_ (
        .padio(zz_dout[26]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[26]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_26_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_25_ (
        .padio(zz_dout[25]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[25]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_25_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_24_ (
        .padio(zz_dout[24]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[24]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_24_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_23_ (
        .padio(zz_dout[23]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[23]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_23_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_22_ (
        .padio(zz_dout[22]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[22]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_22_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_21_ (
        .padio(zz_dout[21]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[21]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_21_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_20_ (
        .padio(zz_dout[20]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[20]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_20_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_19_ (
        .padio(zz_dout[19]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[19]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_19_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_18_ (
        .padio(zz_dout[18]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[18]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_18_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_17_ (
        .padio(zz_dout[17]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[17]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_17_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_16_ (
        .padio(zz_dout[16]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[16]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_16_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_15_ (
        .padio(zz_dout[15]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[15]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_15_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_14_ (
        .padio(zz_dout[14]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[14]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_14_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_13_ (
        .padio(zz_dout[13]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[13]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_13_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_12_ (
        .padio(zz_dout[12]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[12]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_12_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_11_ (
        .padio(zz_dout[11]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[11]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_11_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_10_ (
        .padio(zz_dout[10]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[10]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_10_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_9_ (
        .padio(zz_dout[9]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[9]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_9_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_8_ (
        .padio(zz_dout[8]),
        .datain(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[8]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_8_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_7_ (
        .padio(zz_dout[7]),
        .datain(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[7]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_7_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_6_ (
        .padio(zz_dout[6]),
        .datain(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[6]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_6_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_5_ (
        .padio(zz_dout[5]),
        .datain(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[5]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_5_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_4_ (
        .padio(zz_dout[4]),
        .datain(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[4]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_4_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_3_ (
        .padio(zz_dout[3]),
        .datain(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[3]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_3_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_2_ (
        .padio(zz_dout[2]),
        .datain(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[2]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_2_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_1_ (
        .padio(zz_dout[1]),
        .datain(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[1]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_1_.operation_mode = "output";
// @16:77
  cyclone_io zz_dout_out_0_ (
        .padio(zz_dout[0]),
        .datain(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[0]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_dout_out_0_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_31_ (
        .padio(zz_addr_o[31]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[31]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_31_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_30_ (
        .padio(zz_addr_o[30]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[30]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_30_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_29_ (
        .padio(zz_addr_o[29]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[29]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_29_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_28_ (
        .padio(zz_addr_o[28]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[28]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_28_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_27_ (
        .padio(zz_addr_o[27]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[27]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_27_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_26_ (
        .padio(zz_addr_o[26]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[26]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_26_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_25_ (
        .padio(zz_addr_o[25]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[25]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_25_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_24_ (
        .padio(zz_addr_o[24]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[24]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_24_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_23_ (
        .padio(zz_addr_o[23]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[23]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_23_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_22_ (
        .padio(zz_addr_o[22]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[22]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_22_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_21_ (
        .padio(zz_addr_o[21]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[21]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_21_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_20_ (
        .padio(zz_addr_o[20]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[20]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_20_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_19_ (
        .padio(zz_addr_o[19]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[19]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_19_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_18_ (
        .padio(zz_addr_o[18]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[18]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_18_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_17_ (
        .padio(zz_addr_o[17]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[17]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_17_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_16_ (
        .padio(zz_addr_o[16]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[16]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_16_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_15_ (
        .padio(zz_addr_o[15]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[15]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_15_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_14_ (
        .padio(zz_addr_o[14]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[14]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_14_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_13_ (
        .padio(zz_addr_o[13]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[13]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_13_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_12_ (
        .padio(zz_addr_o[12]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[12]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_12_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_11_ (
        .padio(zz_addr_o[11]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[11]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_11_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_10_ (
        .padio(zz_addr_o[10]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[10]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_10_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_9_ (
        .padio(zz_addr_o[9]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[9]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_9_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_8_ (
        .padio(zz_addr_o[8]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[8]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_8_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_7_ (
        .padio(zz_addr_o[7]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[7]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_7_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_6_ (
        .padio(zz_addr_o[6]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[6]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_6_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_5_ (
        .padio(zz_addr_o[5]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[5]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_5_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_4_ (
        .padio(zz_addr_o[4]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[4]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_4_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_3_ (
        .padio(zz_addr_o[3]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[3]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_3_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_2_ (
        .padio(zz_addr_o[2]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[2]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_2_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_1_ (
        .padio(zz_addr_o[1]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[1]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_1_.operation_mode = "output";
// @16:75
  cyclone_io zz_addr_o_out_0_ (
        .padio(zz_addr_o[0]),
        .datain(i_mips_core_iexec_stage_MIPS_alu_c[0]),
        .oe(VCC),
        .outclk(GND),
        .outclkena(VCC),
        .inclk(GND),
        .inclkena(VCC),
        .areset(GND),
        .sreset(GND)
);
defparam zz_addr_o_out_0_.operation_mode = "output";
// @16:95
  mips_core i_mips_core (
        .dmem_ctl_o_0_2(i_mips_core_decoder_pipe_pipereg_U9_dmem_ctl_o[2]),
        .dmem_ctl_o_0_1(i_mips_core_decoder_pipe_pipereg_U9_dmem_ctl_o[1]),
        .dmem_ctl_o_0_0(i_mips_core_decoder_pipe_pipereg_U9_dmem_ctl_o[0]),
        .r32_o_8_25(i_mips_core_cop_data_reg_r32_o[25]),
        .r32_o_8_24(i_mips_core_cop_data_reg_r32_o[24]),
        .r32_o_8_23(i_mips_core_cop_data_reg_r32_o[23]),
        .r32_o_8_22(i_mips_core_cop_data_reg_r32_o[22]),
        .r32_o_8_21(i_mips_core_cop_data_reg_r32_o[21]),
        .r32_o_8_20(i_mips_core_cop_data_reg_r32_o[20]),
        .r32_o_8_19(i_mips_core_cop_data_reg_r32_o[19]),
        .r32_o_8_18(i_mips_core_cop_data_reg_r32_o[18]),
        .r32_o_8_17(i_mips_core_cop_data_reg_r32_o[17]),
        .r32_o_8_16(i_mips_core_cop_data_reg_r32_o[16]),
        .r32_o_8_15(i_mips_core_cop_data_reg_r32_o[15]),
        .r32_o_8_14(i_mips_core_cop_data_reg_r32_o[14]),
        .r32_o_8_13(i_mips_core_cop_data_reg_r32_o[13]),
        .r32_o_8_12(i_mips_core_cop_data_reg_r32_o[12]),
        .r32_o_8_11(i_mips_core_cop_data_reg_r32_o[11]),
        .r32_o_8_10(i_mips_core_cop_data_reg_r32_o[10]),
        .r32_o_8_9(i_mips_core_cop_data_reg_r32_o[9]),
        .r32_o_8_8(i_mips_core_cop_data_reg_r32_o[8]),
        .r32_o_8_7(i_mips_core_cop_data_reg_r32_o[7]),
        .r32_o_8_6(i_mips_core_cop_data_reg_r32_o[6]),
        .r32_o_8_5(i_mips_core_cop_data_reg_r32_o[5]),
        .r32_o_8_4(i_mips_core_cop_data_reg_r32_o[4]),
        .r32_o_8_3(i_mips_core_cop_data_reg_r32_o[3]),
        .r32_o_8_2(i_mips_core_cop_data_reg_r32_o[2]),
        .r32_o_8_1(i_mips_core_cop_data_reg_r32_o[1]),
        .r32_o_8_0(i_mips_core_cop_data_reg_r32_o[0]),
        .count_0(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_count[5]),
        .b_o_iv_a_31(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[31]),
        .b_o_iv_a_30(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[30]),
        .b_o_iv_a_29(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[29]),
        .b_o_iv_a_26(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[26]),
        .b_o_iv_a_25(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[25]),
        .b_o_iv_a_24(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[24]),
        .b_o_iv_a_23(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[23]),
        .b_o_iv_a_22(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[22]),
        .b_o_iv_a_21(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[21]),
        .b_o_iv_a_20(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[20]),
        .b_o_iv_a_19(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[19]),
        .b_o_iv_a_18(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[18]),
        .b_o_iv_a_17(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[17]),
        .b_o_iv_a_16(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[16]),
        .b_o_iv_a_15(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[15]),
        .b_o_iv_a_14(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[14]),
        .b_o_iv_a_13(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[13]),
        .b_o_iv_a_11(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[11]),
        .b_o_iv_a_10(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[10]),
        .b_o_iv_a_9(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[9]),
        .b_o_iv_a_8(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[8]),
        .b_o_iv_a_7(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[7]),
        .b_o_iv_a_6(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[6]),
        .b_o_iv_a_5(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[5]),
        .b_o_iv_a_4(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[4]),
        .b_o_iv_a_3(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[3]),
        .b_o_iv_a_2(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[2]),
        .b_o_iv_a_1(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[1]),
        .b_o_iv_a_0(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_a[0]),
        .b_o_iv_0_0(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0[12]),
        .b_o_iv_0_a_16(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a[28]),
        .b_o_iv_0_a_15(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a[27]),
        .b_o_iv_0_a_0(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a[12]),
        .b_o_iv_0_a5_0(i_mips_core_iexec_stage_i_alu_muxb_b_o_iv_0_a5[12]),
        .hilo_0(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_hilo[64]),
        .b_o_iv_31(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[31]),
        .b_o_iv_20(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[20]),
        .b_o_iv_19(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[19]),
        .b_o_iv_18(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[18]),
        .b_o_iv_17(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[17]),
        .b_o_iv_16(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[16]),
        .b_o_iv_15(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[15]),
        .b_o_iv_14(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[14]),
        .b_o_iv_13(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[13]),
        .b_o_iv_10(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[10]),
        .b_o_iv_9(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[9]),
        .b_o_iv_8(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[8]),
        .b_o_iv_7(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[7]),
        .b_o_iv_6(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[6]),
        .b_o_iv_5(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[5]),
        .b_o_iv_4(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[4]),
        .b_o_iv_3(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[3]),
        .b_o_iv_2(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[2]),
        .b_o_iv_1(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[1]),
        .b_o_iv_0_d0(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[0]),
        .b_o_iv_22(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[22]),
        .b_o_iv_11(sclrsclri_mips_core_iexec_stage_i_alu_muxb_b_o_iv[11]),
        .alu_func_o_3(i_mips_core_decoder_pipe_pipereg_U16_alu_func_o[3]),
        .c_1_0(i_mips_core_iexec_stage_MIPS_alu_c_1[0]),
        .r32_o_7_31(i_mips_core_cop_data_reg_r32_o[31]),
        .r32_o_7_30(i_mips_core_cop_data_reg_r32_o[30]),
        .r32_o_7_29(i_mips_core_cop_data_reg_r32_o[29]),
        .r32_o_7_28(i_mips_core_cop_data_reg_r32_o[28]),
        .r32_o_7_27(i_mips_core_cop_data_reg_r32_o[27]),
        .r32_o_7_26(i_mips_core_cop_data_reg_r32_o[26]),
        .r32_o_7_25(i_mips_core_rt_reg_r32_o[25]),
        .r32_o_7_24(i_mips_core_rt_reg_r32_o[24]),
        .r32_o_7_23(i_mips_core_rt_reg_r32_o[23]),
        .r32_o_7_22(i_mips_core_rt_reg_r32_o[22]),
        .r32_o_7_21(i_mips_core_rt_reg_r32_o[21]),
        .r32_o_7_20(i_mips_core_rt_reg_r32_o[20]),
        .r32_o_7_19(i_mips_core_rt_reg_r32_o[19]),
        .r32_o_7_18(i_mips_core_rt_reg_r32_o[18]),
        .r32_o_7_17(i_mips_core_rt_reg_r32_o[17]),
        .r32_o_7_15(i_mips_core_rt_reg_r32_o[15]),
        .r32_o_7_14(i_mips_core_rt_reg_r32_o[14]),
        .r32_o_7_13(i_mips_core_rt_reg_r32_o[13]),
        .r32_o_7_12(i_mips_core_rt_reg_r32_o[12]),
        .r32_o_7_11(i_mips_core_rt_reg_r32_o[11]),
        .r32_o_7_10(i_mips_core_rt_reg_r32_o[10]),
        .r32_o_7_9(i_mips_core_rt_reg_r32_o[9]),
        .r32_o_7_8(i_mips_core_rt_reg_r32_o[8]),
        .r32_o_7_7(i_mips_core_rt_reg_r32_o[7]),
        .r32_o_7_6(i_mips_core_rt_reg_r32_o[6]),
        .r32_o_7_5(i_mips_core_rt_reg_r32_o[5]),
        .r32_o_7_1(i_mips_core_rt_reg_r32_o[1]),
        .r32_o_7_3(i_mips_core_rt_reg_r32_o[3]),
        .r32_o_7_2(i_mips_core_rt_reg_r32_o[2]),
        .r32_o_7_4(i_mips_core_ext_reg_r32_o[4]),
        .r32_o_7_16(i_mips_core_ext_reg_r32_o[16]),
        .r32_o_4_31(i_mips_core_ext_reg_r32_o[31]),
        .r32_o_4_30(i_mips_core_ext_reg_r32_o[30]),
        .r32_o_4_29(i_mips_core_ext_reg_r32_o[29]),
        .r32_o_4_28(i_mips_core_ext_reg_r32_o[28]),
        .r32_o_4_27(i_mips_core_ext_reg_r32_o[27]),
        .r32_o_4_26(i_mips_core_ext_reg_r32_o[26]),
        .r32_o_5_20(i_mips_core_ext_reg_r32_o[20]),
        .r32_o_5_25(i_mips_core_ext_reg_r32_o[25]),
        .r32_o_5_24(i_mips_core_ext_reg_r32_o[24]),
        .r32_o_5_22(i_mips_core_ext_reg_r32_o[22]),
        .r32_o_5_21(i_mips_core_ext_reg_r32_o[21]),
        .r32_o_5_17(i_mips_core_ext_reg_r32_o[17]),
        .r32_o_5_15(i_mips_core_ext_reg_r32_o[15]),
        .r32_o_5_14(i_mips_core_ext_reg_r32_o[14]),
        .r32_o_5_13(i_mips_core_ext_reg_r32_o[13]),
        .r32_o_5_12(i_mips_core_ext_reg_r32_o[12]),
        .r32_o_5_11(i_mips_core_ext_reg_r32_o[11]),
        .r32_o_5_10(i_mips_core_ext_reg_r32_o[10]),
        .r32_o_5_9(i_mips_core_ext_reg_r32_o[9]),
        .r32_o_5_8(i_mips_core_ext_reg_r32_o[8]),
        .r32_o_5_7(i_mips_core_ext_reg_r32_o[7]),
        .r32_o_5_6(i_mips_core_ext_reg_r32_o[6]),
        .r32_o_5_5(i_mips_core_ext_reg_r32_o[5]),
        .r32_o_5_1(i_mips_core_ext_reg_r32_o[1]),
        .r32_o_6_31(i_mips_core_rt_reg_r32_o[31]),
        .r32_o_6_30(i_mips_core_rt_reg_r32_o[30]),
        .r32_o_6_29(i_mips_core_rt_reg_r32_o[29]),
        .r32_o_6_27(i_mips_core_rt_reg_r32_o[27]),
        .r32_o_6_26(i_mips_core_rt_reg_r32_o[26]),
        .r32_o_6_18(i_mips_core_ext_reg_r32_o[18]),
        .r32_o_6_3(i_mips_core_ext_reg_r32_o[3]),
        .r32_o_6_2(i_mips_core_ext_reg_r32_o[2]),
        .r32_o_6_19(i_mips_core_ext_reg_r32_o[19]),
        .r32_o_6_23(i_mips_core_ext_reg_r32_o[23]),
        .r32_o_6_0(i_mips_core_ext_reg_r32_o[0]),
        .zz_ins_i_c_29(zz_ins_i_c[29]),
        .zz_ins_i_c_31(zz_ins_i_c[31]),
        .zz_ins_i_c_30(zz_ins_i_c[30]),
        .zz_ins_i_c_27(zz_ins_i_c[27]),
        .zz_ins_i_c_26(zz_ins_i_c[26]),
        .zz_ins_i_c_28(zz_ins_i_c[28]),
        .zz_ins_i_c_23(zz_ins_i_c[23]),
        .zz_ins_i_c_24(zz_ins_i_c[24]),
        .zz_ins_i_c_20(zz_ins_i_c[20]),
        .zz_ins_i_c_19(zz_ins_i_c[19]),
        .zz_ins_i_c_16(zz_ins_i_c[16]),
        .zz_ins_i_c_15(zz_ins_i_c[15]),
        .zz_ins_i_c_12(zz_ins_i_c[12]),
        .zz_ins_i_c_11(zz_ins_i_c[11]),
        .zz_ins_i_c_8(zz_ins_i_c[8]),
        .zz_ins_i_c_7(zz_ins_i_c[7]),
        .zz_ins_i_c_4(zz_ins_i_c[4]),
        .zz_ins_i_c_3(zz_ins_i_c[3]),
        .zz_ins_i_c_0(zz_ins_i_c[0]),
        .zz_ins_i_c_25(zz_ins_i_c[25]),
        .zz_ins_i_c_22(zz_ins_i_c[22]),
        .zz_ins_i_c_21(zz_ins_i_c[21]),
        .zz_ins_i_c_18(zz_ins_i_c[18]),
        .zz_ins_i_c_17(zz_ins_i_c[17]),
        .zz_ins_i_c_14(zz_ins_i_c[14]),
        .zz_ins_i_c_13(zz_ins_i_c[13]),
        .zz_ins_i_c_10(zz_ins_i_c[10]),
        .zz_ins_i_c_9(zz_ins_i_c[9]),
        .zz_ins_i_c_6(zz_ins_i_c[6]),
        .zz_ins_i_c_5(zz_ins_i_c[5]),
        .zz_ins_i_c_2(zz_ins_i_c[2]),
        .zz_ins_i_c_1(zz_ins_i_c[1]),
        .pc_next_iv_0_0_0(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_0[16]),
        .irq_addr_o_28(imips_dvc_irq_addr_o[28]),
        .irq_addr_o_29(imips_dvc_irq_addr_o[29]),
        .irq_addr_o_30(imips_dvc_irq_addr_o[30]),
        .irq_addr_o_31(imips_dvc_irq_addr_o[31]),
        .irq_addr_o_0(imips_dvc_irq_addr_o[0]),
        .irq_addr_o_1(imips_dvc_irq_addr_o[1]),
        .irq_addr_o_5(imips_dvc_irq_addr_o[5]),
        .irq_addr_o_6(imips_dvc_irq_addr_o[6]),
        .irq_addr_o_7(imips_dvc_irq_addr_o[7]),
        .irq_addr_o_8(imips_dvc_irq_addr_o[8]),
        .irq_addr_o_9(imips_dvc_irq_addr_o[9]),
        .irq_addr_o_10(imips_dvc_irq_addr_o[10]),
        .irq_addr_o_11(imips_dvc_irq_addr_o[11]),
        .irq_addr_o_12(imips_dvc_irq_addr_o[12]),
        .irq_addr_o_13(imips_dvc_irq_addr_o[13]),
        .irq_addr_o_14(imips_dvc_irq_addr_o[14]),
        .irq_addr_o_15(imips_dvc_irq_addr_o[15]),
        .irq_addr_o_17(imips_dvc_irq_addr_o[17]),
        .irq_addr_o_2(imips_dvc_irq_addr_o[2]),
        .irq_addr_o_3(imips_dvc_irq_addr_o[3]),
        .irq_addr_o_4(imips_dvc_irq_addr_o[4]),
        .irq_addr_o_16(imips_dvc_irq_addr_o[16]),
        .irq_addr_o_18(imips_dvc_irq_addr_o[18]),
        .irq_addr_o_19(imips_dvc_irq_addr_o[19]),
        .irq_addr_o_20(imips_dvc_irq_addr_o[20]),
        .irq_addr_o_21(imips_dvc_irq_addr_o[21]),
        .irq_addr_o_22(imips_dvc_irq_addr_o[22]),
        .irq_addr_o_23(imips_dvc_irq_addr_o[23]),
        .irq_addr_o_24(imips_dvc_irq_addr_o[24]),
        .irq_addr_o_25(imips_dvc_irq_addr_o[25]),
        .irq_addr_o_26(imips_dvc_irq_addr_o[26]),
        .irq_addr_o_27(imips_dvc_irq_addr_o[27]),
        .pc_next_iv_0_a_0(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0_a[16]),
        .pc_next_iv_0_28(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[28]),
        .pc_next_iv_0_29(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[29]),
        .pc_next_iv_0_30(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[30]),
        .pc_next_iv_0_31(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[31]),
        .pc_next_iv_0_17(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[17]),
        .pc_next_iv_0_18(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[18]),
        .pc_next_iv_0_19(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[19]),
        .pc_next_iv_0_20(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[20]),
        .pc_next_iv_0_21(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[21]),
        .pc_next_iv_0_22(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[22]),
        .pc_next_iv_0_23(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[23]),
        .pc_next_iv_0_24(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[24]),
        .pc_next_iv_0_25(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[25]),
        .pc_next_iv_0_26(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[26]),
        .pc_next_iv_0_27(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_0[27]),
        .pc_next_iv_0(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[0]),
        .pc_next_iv_14(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[14]),
        .pc_next_iv_8(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[8]),
        .pc_next_iv_1(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[1]),
        .pc_next_iv_6(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[6]),
        .pc_next_iv_5(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[5]),
        .pc_next_iv_13(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[13]),
        .pc_next_iv_12(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[12]),
        .pc_next_iv_15(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[15]),
        .pc_next_iv_9(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[9]),
        .pc_next_iv_7(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[7]),
        .pc_next_iv_11(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[11]),
        .pc_next_iv_10(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[10]),
        .pc_next_iv_4(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[4]),
        .pc_next_iv_3(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[3]),
        .pc_next_iv_2(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv[2]),
        .dout_iv_0_20(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[30]),
        .dout_iv_0_4(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[14]),
        .dout_iv_0_1(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[11]),
        .dout_iv_0_0(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[10]),
        .dout_iv_0_9(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[19]),
        .dout_iv_0_8(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[18]),
        .dout_iv_0_14(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[24]),
        .dout_iv_0_17(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[27]),
        .dout_iv_0_12(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[22]),
        .dout_iv_0_16(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[26]),
        .pc_next_iv_a_31(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[31]),
        .pc_next_iv_a_30(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[30]),
        .pc_next_iv_a_28(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[28]),
        .pc_next_iv_a_29(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[29]),
        .pc_next_iv_a_17(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[17]),
        .pc_next_iv_a_20(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[20]),
        .pc_next_iv_a_19(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[19]),
        .pc_next_iv_a_18(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[18]),
        .pc_next_iv_a_21(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[21]),
        .pc_next_iv_a_24(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[24]),
        .pc_next_iv_a_27(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[27]),
        .pc_next_iv_a_23(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[23]),
        .pc_next_iv_a_22(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[22]),
        .pc_next_iv_a_26(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[26]),
        .pc_next_iv_a_25(i_mips_core_iRF_stage_i_pc_gen_pc_next_iv_a[25]),
        .dout_iv_0_d0(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[0]),
        .dout_iv_13(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[13]),
        .dout_iv_16(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[16]),
        .dout_iv_4(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv[4]),
        .dout_iv_15(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[15]),
        .dout_iv_31(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[31]),
        .dout_iv_14(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[14]),
        .dout_iv_30(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[30]),
        .dout_iv_28(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[28]),
        .dout_iv_11(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[11]),
        .dout_iv_27(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[27]),
        .dout_iv_10(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[10]),
        .dout_iv_26(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[26]),
        .dout_iv_25(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[25]),
        .dout_iv_8(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[8]),
        .dout_iv_24(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[24]),
        .dout_iv_22(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[22]),
        .dout_iv_19(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[19]),
        .dout_iv_2(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[2]),
        .dout_iv_18(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv[18]),
        .dout_0(imips_dvc_dout[0]),
        .dout_1(imips_dvc_dout[1]),
        .dout_2(imips_dvc_dout[2]),
        .dout_3(imips_dvc_dout[3]),
        .dout_4(imips_dvc_dout[4]),
        .dout_5(imips_dvc_dout[5]),
        .dout_6(imips_dvc_dout[6]),
        .dout_7(imips_dvc_dout[7]),
        .dout_8(imips_dvc_dout[8]),
        .dout_9(imips_dvc_dout[9]),
        .dout_10(imips_dvc_dout[10]),
        .dout_11(imips_dvc_dout[11]),
        .dout_12(imips_dvc_dout[12]),
        .dout_13(imips_dvc_dout[13]),
        .dout_14(imips_dvc_dout[14]),
        .dout_15(imips_dvc_dout[15]),
        .dout_16(imips_dvc_dout[16]),
        .dout_17(imips_dvc_dout[17]),
        .dout_18(imips_dvc_dout[18]),
        .dout_19(imips_dvc_dout[19]),
        .dout_20(imips_dvc_dout[20]),
        .dout_21(imips_dvc_dout[21]),
        .dout_22(imips_dvc_dout[22]),
        .dout_23(imips_dvc_dout[23]),
        .dout_24(imips_dvc_dout[24]),
        .dout_25(imips_dvc_dout[25]),
        .dout_26(imips_dvc_dout[26]),
        .dout_27(imips_dvc_dout[27]),
        .dout_28(imips_dvc_dout[28]),
        .dout_29(imips_dvc_dout[29]),
        .dout_30(imips_dvc_dout[30]),
        .dout_31(imips_dvc_dout[31]),
        .dout_iv_1_0_27(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[27]),
        .dout_iv_1_0_30(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[30]),
        .dout_iv_1_0_18(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[18]),
        .dout_iv_1_0_8(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[8]),
        .dout_iv_1_0_25(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[25]),
        .dout_iv_1_0_15(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[15]),
        .dout_iv_1_0_19(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[19]),
        .dout_iv_1_0_14(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[14]),
        .dout_iv_1_0_26(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[26]),
        .dout_iv_1_0_11(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[11]),
        .dout_iv_1_0_2(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[2]),
        .dout_iv_1_0_22(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[22]),
        .dout_iv_1_0_24(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[24]),
        .dout_iv_1_0_28(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[28]),
        .dout_iv_1_0_10(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[10]),
        .dout_iv_1_31(i_mips_core_iRF_stage_rf_fwd_rt_dout_iv_1[31]),
        .dout_iv_1_16(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[16]),
        .dout_iv_1_27(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[27]),
        .dout_iv_1_0_d0(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[0]),
        .dout_iv_1_13(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[13]),
        .dout_iv_1_22(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[22]),
        .dout_iv_1_30(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[30]),
        .dout_iv_1_4(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[4]),
        .dout_iv_1_18(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[18]),
        .dout_iv_1_19(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[19]),
        .dout_iv_1_14(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[14]),
        .dout_iv_1_26(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[26]),
        .dout_iv_1_24(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[24]),
        .dout_iv_1_11(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[11]),
        .dout_iv_1_10(i_mips_core_iRF_stage_rs_fwd_rs_dout_iv_1[10]),
        .c_30(i_mips_core_iexec_stage_MIPS_alu_c[30]),
        .c_29(i_mips_core_iexec_stage_MIPS_alu_c[29]),
        .c_28(i_mips_core_iexec_stage_MIPS_alu_c[28]),
        .c_27(i_mips_core_iexec_stage_MIPS_alu_c[27]),
        .c_26(i_mips_core_iexec_stage_MIPS_alu_c[26]),
        .c_25(i_mips_core_iexec_stage_MIPS_alu_c[25]),
        .c_24(i_mips_core_iexec_stage_MIPS_alu_c[24]),
        .c_23(i_mips_core_iexec_stage_MIPS_alu_c[23]),
        .c_22(i_mips_core_iexec_stage_MIPS_alu_c[22]),
        .c_21(i_mips_core_iexec_stage_MIPS_alu_c[21]),
        .c_20(i_mips_core_iexec_stage_MIPS_alu_c[20]),
        .c_19(i_mips_core_iexec_stage_MIPS_alu_c[19]),
        .c_18(i_mips_core_iexec_stage_MIPS_alu_c[18]),
        .c_17(i_mips_core_iexec_stage_MIPS_alu_c[17]),
        .c_16(i_mips_core_iexec_stage_MIPS_alu_c[16]),
        .c_15(i_mips_core_iexec_stage_MIPS_alu_c[15]),
        .c_14(i_mips_core_iexec_stage_MIPS_alu_c[14]),
        .c_13(i_mips_core_iexec_stage_MIPS_alu_c[13]),
        .c_12(i_mips_core_iexec_stage_MIPS_alu_c[12]),
        .c_11(i_mips_core_iexec_stage_MIPS_alu_c[11]),
        .c_10(i_mips_core_iexec_stage_MIPS_alu_c[10]),
        .c_9(i_mips_core_iexec_stage_MIPS_alu_c[9]),
        .c_8(i_mips_core_iexec_stage_MIPS_alu_c[8]),
        .c_7(i_mips_core_iexec_stage_MIPS_alu_c[7]),
        .c_6(i_mips_core_iexec_stage_MIPS_alu_c[6]),
        .c_5(i_mips_core_iexec_stage_MIPS_alu_c[5]),
        .c_4(i_mips_core_iexec_stage_MIPS_alu_c[4]),
        .c_3(i_mips_core_iexec_stage_MIPS_alu_c[3]),
        .c_2(i_mips_core_iexec_stage_MIPS_alu_c[2]),
        .c_31(i_mips_core_iexec_stage_MIPS_alu_c[31]),
        .c_0(i_mips_core_iexec_stage_MIPS_alu_c[0]),
        .c_1(i_mips_core_iexec_stage_MIPS_alu_c[1]),
        .wr_en_0_0_2(i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0[2]),
        .wr_en_0_0_1(i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0[1]),
        .wr_en_0_0_0(i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0[0]),
        .wr_en_0_0_3(i_mips_core_MEM_CTL_i_mem_addr_ctl_wr_en_0_0[3]),
        .dout_1_0(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[16]),
        .dout_1_1(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[17]),
        .dout_1_2(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[18]),
        .dout_1_3(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[19]),
        .dout_1_4(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[20]),
        .dout_1_5(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[21]),
        .dout_1_6(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[22]),
        .dout_1_7(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1[23]),
        .dout_1_2_7(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[31]),
        .dout_1_2_6(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[30]),
        .dout_1_2_5(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[29]),
        .dout_1_2_4(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[28]),
        .dout_1_2_3(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[27]),
        .dout_1_2_2(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[26]),
        .dout_1_2_1(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[25]),
        .dout_1_2_0(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_2[24]),
        .dout_2_7(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[7]),
        .dout_2_6(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[6]),
        .dout_2_5(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[5]),
        .dout_2_4(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[4]),
        .dout_2_3(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[3]),
        .dout_2_2(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[2]),
        .dout_2_1(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[1]),
        .dout_2_0(i_mips_core_iexec_stage_dmem_fw_mux_dout_2[0]),
        .dout_1_x_7(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[15]),
        .dout_1_x_6(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[14]),
        .dout_1_x_5(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[13]),
        .dout_1_x_4(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[12]),
        .dout_1_x_3(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[11]),
        .dout_1_x_2(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[10]),
        .dout_1_x_1(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[9]),
        .dout_1_x_0(i_mips_core_MEM_CTL_i_mem_din_ctl_dout_1_x[8]),
        .r32_o_0_16(i_mips_core_alu_pass0_r32_o[16]),
        .r32_o_0_4(i_mips_core_alu_pass0_r32_o[4]),
        .r32_o_0_0(i_mips_core_alu_pass0_r32_o[0]),
        .r32_o_27(i_mips_core_alu_pass0_r32_o[27]),
        .r32_o_30(i_mips_core_alu_pass0_r32_o[30]),
        .r32_o_31(i_mips_core_alu_pass0_r32_o[31]),
        .r32_o_26(i_mips_core_alu_pass0_r32_o[26]),
        .r32_o_28(i_mips_core_alu_pass0_r32_o[28]),
        .r32_o_29(i_mips_core_alu_pass0_r32_o[29]),
        .r32_o_6_d0(i_mips_core_alu_pass0_r32_o[6]),
        .r32_o_17(i_mips_core_alu_pass0_r32_o[17]),
        .r32_o_18(i_mips_core_alu_pass0_r32_o[18]),
        .r32_o_5_d0(i_mips_core_alu_pass0_r32_o[5]),
        .r32_o_12(i_mips_core_alu_pass0_r32_o[12]),
        .r32_o_8_d0(i_mips_core_alu_pass0_r32_o[8]),
        .r32_o_21(i_mips_core_alu_pass0_r32_o[21]),
        .r32_o_25(i_mips_core_alu_pass0_r32_o[25]),
        .r32_o_13(i_mips_core_alu_pass0_r32_o[13]),
        .r32_o_15(i_mips_core_alu_pass0_r32_o[15]),
        .r32_o_3(i_mips_core_alu_pass0_r32_o[3]),
        .r32_o_20(i_mips_core_alu_pass0_r32_o[20]),
        .r32_o_7_d0(i_mips_core_alu_pass0_r32_o[7]),
        .r32_o_19(i_mips_core_alu_pass0_r32_o[19]),
        .r32_o_23(i_mips_core_alu_pass0_r32_o[23]),
        .r32_o_14(i_mips_core_alu_pass0_r32_o[14]),
        .r32_o_11(i_mips_core_alu_pass0_r32_o[11]),
        .r32_o_2(i_mips_core_alu_pass0_r32_o[2]),
        .r32_o_9(i_mips_core_alu_pass0_r32_o[9]),
        .r32_o_22(i_mips_core_alu_pass0_r32_o[22]),
        .r32_o_24(i_mips_core_alu_pass0_r32_o[24]),
        .r32_o_10(i_mips_core_alu_pass0_r32_o[10]),
        .r32_o_1(i_mips_core_alu_pass0_r32_o[1]),
        .zz_din_c_22(zz_din_c[22]),
        .zz_din_c_21(zz_din_c[21]),
        .zz_din_c_20(zz_din_c[20]),
        .zz_din_c_19(zz_din_c[19]),
        .zz_din_c_18(zz_din_c[18]),
        .zz_din_c_17(zz_din_c[17]),
        .zz_din_c_16(zz_din_c[16]),
        .zz_din_c_27(zz_din_c[27]),
        .zz_din_c_24(zz_din_c[24]),
        .zz_din_c_30(zz_din_c[30]),
        .zz_din_c_29(zz_din_c[29]),
        .zz_din_c_25(zz_din_c[25]),
        .zz_din_c_26(zz_din_c[26]),
        .zz_din_c_28(zz_din_c[28]),
        .zz_din_c_11(zz_din_c[11]),
        .zz_din_c_10(zz_din_c[10]),
        .zz_din_c_14(zz_din_c[14]),
        .zz_din_c_12(zz_din_c[12]),
        .zz_din_c_9(zz_din_c[9]),
        .zz_din_c_8(zz_din_c[8]),
        .zz_din_c_13(zz_din_c[13]),
        .zz_din_c_7(zz_din_c[7]),
        .zz_din_c_23(zz_din_c[23]),
        .zz_din_c_31(zz_din_c[31]),
        .zz_din_c_15(zz_din_c[15]),
        .zz_din_c_1(zz_din_c[1]),
        .zz_din_c_2(zz_din_c[2]),
        .zz_din_c_4(zz_din_c[4]),
        .zz_din_c_5(zz_din_c[5]),
        .zz_din_c_6(zz_din_c[6]),
        .zz_din_c_3(zz_din_c[3]),
        .zz_din_c_0(zz_din_c[0]),
        .BUS7117_i_m_16(i_mips_core_BUS7117_i_m[16]),
        .BUS7117_i_m_4(i_mips_core_BUS7117_i_m[4]),
        .BUS7117_i_m_0(i_mips_core_BUS7117_i_m[0]),
        .wb_o_0(i_mips_core_wb_mux_wb_o[0]),
        .wb_o_4(i_mips_core_wb_mux_wb_o[4]),
        .wb_o_16(i_mips_core_wb_mux_wb_o[16]),
        .wb_o_27(i_mips_core_wb_mux_wb_o[27]),
        .wb_o_28(i_mips_core_wb_mux_wb_o[28]),
        .wb_o_31(i_mips_core_wb_mux_wb_o[31]),
        .wb_o_30(i_mips_core_wb_mux_wb_o[30]),
        .wb_o_26(i_mips_core_wb_mux_wb_o[26]),
        .wb_o_25(i_mips_core_wb_mux_wb_o[25]),
        .wb_o_24(i_mips_core_wb_mux_wb_o[24]),
        .wb_o_22(i_mips_core_wb_mux_wb_o[22]),
        .wb_o_19(i_mips_core_wb_mux_wb_o[19]),
        .wb_o_18(i_mips_core_wb_mux_wb_o[18]),
        .wb_o_15(i_mips_core_wb_mux_wb_o[15]),
        .wb_o_14(i_mips_core_wb_mux_wb_o[14]),
        .wb_o_13(i_mips_core_wb_mux_wb_o[13]),
        .wb_o_11(i_mips_core_wb_mux_wb_o[11]),
        .wb_o_10(i_mips_core_wb_mux_wb_o[10]),
        .wb_o_8(i_mips_core_wb_mux_wb_o[8]),
        .wb_o_2(i_mips_core_wb_mux_wb_o[2]),
        .BUS15471_i_m_19(i_mips_core_BUS15471_i_m[20]),
        .BUS15471_i_m_2(i_mips_core_BUS15471_i_m[3]),
        .BUS15471_i_m_30(i_mips_core_BUS15471_i_m[31]),
        .BUS15471_i_m_21(i_mips_core_BUS15471_i_m[22]),
        .BUS15471_i_m_18(i_mips_core_BUS15471_i_m[19]),
        .BUS15471_i_m_17(i_mips_core_BUS15471_i_m[18]),
        .BUS15471_i_m_16(i_mips_core_BUS15471_i_m[17]),
        .BUS15471_i_m_14(i_mips_core_BUS15471_i_m[15]),
        .BUS15471_i_m_13(i_mips_core_BUS15471_i_m[14]),
        .BUS15471_i_m_12(i_mips_core_BUS15471_i_m[13]),
        .BUS15471_i_m_10(i_mips_core_BUS15471_i_m[11]),
        .BUS15471_i_m_9(i_mips_core_BUS15471_i_m[10]),
        .BUS15471_i_m_8(i_mips_core_BUS15471_i_m[9]),
        .BUS15471_i_m_7(i_mips_core_BUS15471_i_m[8]),
        .BUS15471_i_m_6(i_mips_core_BUS15471_i_m[7]),
        .BUS15471_i_m_5(i_mips_core_BUS15471_i_m[6]),
        .BUS15471_i_m_4(i_mips_core_BUS15471_i_m[5]),
        .BUS15471_i_m_1(i_mips_core_BUS15471_i_m[2]),
        .BUS15471_i_m_0(i_mips_core_BUS15471_i_m[1]),
        .wr_cmd_0_a2_1_21_a(imips_dvc_wr_cmd_0_a2_1_21_a),
        .m51(i_mips_core_iexec_stage_MIPS_alu_mips_alu_m51),
        .m50(i_mips_core_iexec_stage_MIPS_alu_mips_alu_m50),
        .b_o_0_sqmuxa(i_mips_core_iexec_stage_i_alu_muxb_b_o_0_sqmuxa),
        .b_o_1_sqmuxa(i_mips_core_iexec_stage_i_alu_muxb_b_o_1_sqmuxa),
        .rdy(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_rdy),
        .hilo25(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_hilo25),
        .mul(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_mul),
        .start(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_start),
        .op2_sign_reged(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_op2_sign_reged),
        .finish(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_finish),
        .I_159_a(I_159_a),
        .G_230(G_230),
        .overflow_2_sqmuxa(i_mips_core_iexec_stage_MIPS_alu_muldiv_ff_overflow_2_sqmuxa),
        .b_o18(i_mips_core_iexec_stage_i_alu_muxb_b_o18),
        .irq_req_o(imips_dvc_irq_req_o),
        .rst_c(rst_c),
        .un1_pc_add16(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add16),
        .un1_pc_add17(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add17),
        .un1_pc_add18(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add18),
        .un1_pc_add19(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add19),
        .un1_pc_add20(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add20),
        .un1_pc_add21(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add21),
        .un1_pc_add22(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add22),
        .un1_pc_add23(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add23),
        .un1_pc_add24(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add24),
        .un1_pc_add25(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add25),
        .un1_pc_add26(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add26),
        .un1_pc_add27(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add27),
        .un1_pc_add28(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add28),
        .un1_pc_add29(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add29),
        .un1_pc_add30(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add30),
        .un1_pc_add31(i_mips_core_iRF_stage_i_pc_gen_un1_pc_add31),
        .un1_pc_next46_0(i_mips_core_iRF_stage_i_pc_gen_un1_pc_next46_0),
        .dout7_0_a2_0_a2_0_a2_0_a2_0(i_mips_core_iRF_stage_rf_fwd_rt_dout7_0_a2_0_a2_0_a2_0_a2),
        .dout7_0_a2_0_a2_0_a2_0_a2(i_mips_core_iRF_stage_rs_fwd_rs_dout7_0_a2_0_a2_0_a2_0_a2),
        .clk_c(clk_c),
        .un1_b_o18_2(i_mips_core_iexec_stage_i_alu_muxb_un1_b_o18_2)
);
// @16:116
  mips_dvc imips_dvc (
        .ua_state_0(imips_dvc_iuart0_uart_rd_tak_ua_state[3]),
        .clk_ctr_3(imips_dvc_iuart0_uart_rd_tak_clk_ctr[3]),
        .clk_ctr_2(imips_dvc_iuart0_uart_rd_tak_clk_ctr[2]),
        .clk_ctr_0(imips_dvc_iuart0_uart_rd_tak_clk_ctr[0]),
        .dmem_ctl_o_1(i_mips_core_decoder_pipe_pipereg_U9_dmem_ctl_o[1]),
        .dmem_ctl_o_0(i_mips_core_decoder_pipe_pipereg_U9_dmem_ctl_o[0]),
        .dmem_ctl_o_2(i_mips_core_decoder_pipe_pipereg_U9_dmem_ctl_o[2]),
        .cmd_2(imips_dvc_cmd[2]),
        .cmd_3(imips_dvc_cmd[3]),
        .cmd_4(imips_dvc_cmd[4]),
        .cmd_5(imips_dvc_cmd[5]),
        .cmd_6(imips_dvc_cmd[6]),
        .r32_o_0_20(i_mips_core_alu_pass0_r32_o[20]),
        .r32_o_0_18(i_mips_core_alu_pass0_r32_o[18]),
        .r32_o_0_21(i_mips_core_alu_pass0_r32_o[21]),
        .r32_o_0_16(i_mips_core_alu_pass0_r32_o[16]),
        .r32_o_0_15(i_mips_core_alu_pass0_r32_o[15]),
        .r32_o_0_22(i_mips_core_alu_pass0_r32_o[22]),
        .r32_o_0_19(i_mips_core_alu_pass0_r32_o[19]),
        .r32_o_0_24(i_mips_core_alu_pass0_r32_o[24]),
        .r32_o_0_11(i_mips_core_alu_pass0_r32_o[11]),
        .r32_o_0_10(i_mips_core_alu_pass0_r32_o[10]),
        .r32_o_0_31(i_mips_core_alu_pass0_r32_o[31]),
        .r32_o_0_30(i_mips_core_alu_pass0_r32_o[30]),
        .r32_o_0_12(i_mips_core_alu_pass0_r32_o[12]),
        .r32_o_0_9(i_mips_core_alu_pass0_r32_o[9]),
        .r32_o_0_8(i_mips_core_alu_pass0_r32_o[8]),
        .r32_o_0_6(i_mips_core_alu_pass0_r32_o[6]),
        .r32_o_0_7(i_mips_core_alu_pass0_r32_o[7]),
        .r32_o_0_26(i_mips_core_alu_pass0_r32_o[26]),
        .r32_o_0_23(i_mips_core_alu_pass0_r32_o[23]),
        .r32_o_0_25(i_mips_core_alu_pass0_r32_o[25]),
        .r32_o_0_13(i_mips_core_alu_pass0_r32_o[13]),
        .r32_o_0_29(i_mips_core_alu_pass0_r32_o[29]),
        .r32_o_0_27(i_mips_core_alu_pass0_r32_o[27]),
        .r32_o_0_28(i_mips_core_alu_pass0_r32_o[28]),
        .r32_o_0_0(i_mips_core_alu_pass0_r32_o[0]),
        .r32_o_0_1(i_mips_core_alu_pass0_r32_o[1]),
        .r32_o_0_17(i_mips_core_alu_pass0_r32_o[17]),
        .r32_o_0_4(i_mips_core_alu_pass0_r32_o[4]),
        .r32_o_0_3(i_mips_core_alu_pass0_r32_o[3]),
        .r32_o_0_2(i_mips_core_alu_pass0_r32_o[2]),
        .r32_o_0_5(i_mips_core_alu_pass0_r32_o[5]),
        .dout_0(imips_dvc_dout[0]),
        .dout_1(imips_dvc_dout[1]),
        .dout_2(imips_dvc_dout[2]),
        .dout_3(imips_dvc_dout[3]),
        .dout_4(imips_dvc_dout[4]),
        .dout_5(imips_dvc_dout[5]),
        .dout_6(imips_dvc_dout[6]),
        .dout_7(imips_dvc_dout[7]),
        .dout_8(imips_dvc_dout[8]),
        .dout_9(imips_dvc_dout[9]),
        .dout_10(imips_dvc_dout[10]),
        .dout_11(imips_dvc_dout[11]),
        .dout_12(imips_dvc_dout[12]),
        .dout_13(imips_dvc_dout[13]),
        .dout_14(imips_dvc_dout[14]),
        .dout_15(imips_dvc_dout[15]),
        .dout_16(imips_dvc_dout[16]),
        .dout_17(imips_dvc_dout[17]),
        .dout_18(imips_dvc_dout[18]),
        .dout_19(imips_dvc_dout[19]),
        .dout_20(imips_dvc_dout[20]),
        .dout_21(imips_dvc_dout[21]),
        .dout_22(imips_dvc_dout[22]),
        .dout_23(imips_dvc_dout[23]),
        .dout_24(imips_dvc_dout[24]),
        .dout_25(imips_dvc_dout[25]),
        .dout_26(imips_dvc_dout[26]),
        .dout_27(imips_dvc_dout[27]),
        .dout_28(imips_dvc_dout[28]),
        .dout_29(imips_dvc_dout[29]),
        .dout_30(imips_dvc_dout[30]),
        .dout_31(imips_dvc_dout[31]),
        .lcd_data_0(imips_dvc_lcd_data[0]),
        .lcd_data_1(imips_dvc_lcd_data[1]),
        .lcd_data_2(imips_dvc_lcd_data[2]),
        .lcd_data_3(imips_dvc_lcd_data[3]),
        .lcd_data_4(imips_dvc_lcd_data[4]),
        .lcd_data_5(imips_dvc_lcd_data[5]),
        .lcd_data_6(imips_dvc_lcd_data[6]),
        .lcd_data_7(imips_dvc_lcd_data[7]),
        .irq_addr_o_0(imips_dvc_irq_addr_o[0]),
        .irq_addr_o_1(imips_dvc_irq_addr_o[1]),
        .irq_addr_o_2(imips_dvc_irq_addr_o[2]),
        .irq_addr_o_3(imips_dvc_irq_addr_o[3]),
        .irq_addr_o_4(imips_dvc_irq_addr_o[4]),
        .irq_addr_o_5(imips_dvc_irq_addr_o[5]),
        .irq_addr_o_6(imips_dvc_irq_addr_o[6]),
        .irq_addr_o_7(imips_dvc_irq_addr_o[7]),
        .irq_addr_o_8(imips_dvc_irq_addr_o[8]),
        .irq_addr_o_9(imips_dvc_irq_addr_o[9]),
        .irq_addr_o_10(imips_dvc_irq_addr_o[10]),
        .irq_addr_o_11(imips_dvc_irq_addr_o[11]),
        .irq_addr_o_12(imips_dvc_irq_addr_o[12]),
        .irq_addr_o_13(imips_dvc_irq_addr_o[13]),
        .irq_addr_o_14(imips_dvc_irq_addr_o[14]),
        .irq_addr_o_15(imips_dvc_irq_addr_o[15]),
        .irq_addr_o_16(imips_dvc_irq_addr_o[16]),
        .irq_addr_o_17(imips_dvc_irq_addr_o[17]),
        .irq_addr_o_18(imips_dvc_irq_addr_o[18]),
        .irq_addr_o_19(imips_dvc_irq_addr_o[19]),
        .irq_addr_o_20(imips_dvc_irq_addr_o[20]),
        .irq_addr_o_21(imips_dvc_irq_addr_o[21]),
        .irq_addr_o_22(imips_dvc_irq_addr_o[22]),
        .irq_addr_o_23(imips_dvc_irq_addr_o[23]),
        .irq_addr_o_24(imips_dvc_irq_addr_o[24]),
        .irq_addr_o_25(imips_dvc_irq_addr_o[25]),
        .irq_addr_o_26(imips_dvc_irq_addr_o[26]),
        .irq_addr_o_27(imips_dvc_irq_addr_o[27]),
        .irq_addr_o_28(imips_dvc_irq_addr_o[28]),
        .irq_addr_o_29(imips_dvc_irq_addr_o[29]),
        .irq_addr_o_30(imips_dvc_irq_addr_o[30]),
        .irq_addr_o_31(imips_dvc_irq_addr_o[31]),
        .r32_o_31(i_mips_core_cop_data_reg_r32_o[31]),
        .r32_o_30(i_mips_core_cop_data_reg_r32_o[30]),
        .r32_o_29(i_mips_core_cop_data_reg_r32_o[29]),
        .r32_o_28(i_mips_core_cop_data_reg_r32_o[28]),
        .r32_o_27(i_mips_core_cop_data_reg_r32_o[27]),
        .r32_o_26(i_mips_core_cop_data_reg_r32_o[26]),
        .r32_o_25(i_mips_core_cop_data_reg_r32_o[25]),
        .r32_o_24(i_mips_core_cop_data_reg_r32_o[24]),
        .r32_o_23(i_mips_core_cop_data_reg_r32_o[23]),
        .r32_o_22(i_mips_core_cop_data_reg_r32_o[22]),
        .r32_o_21(i_mips_core_cop_data_reg_r32_o[21]),
        .r32_o_20(i_mips_core_cop_data_reg_r32_o[20]),
        .r32_o_19(i_mips_core_cop_data_reg_r32_o[19]),
        .r32_o_18(i_mips_core_cop_data_reg_r32_o[18]),
        .r32_o_17(i_mips_core_cop_data_reg_r32_o[17]),
        .r32_o_16(i_mips_core_cop_data_reg_r32_o[16]),
        .r32_o_15(i_mips_core_cop_data_reg_r32_o[15]),
        .r32_o_14(i_mips_core_cop_data_reg_r32_o[14]),
        .r32_o_13(i_mips_core_cop_data_reg_r32_o[13]),
        .r32_o_12(i_mips_core_cop_data_reg_r32_o[12]),
        .r32_o_11(i_mips_core_cop_data_reg_r32_o[11]),
        .r32_o_10(i_mips_core_cop_data_reg_r32_o[10]),
        .r32_o_9(i_mips_core_cop_data_reg_r32_o[9]),
        .r32_o_8(i_mips_core_cop_data_reg_r32_o[8]),
        .r32_o_7(i_mips_core_cop_data_reg_r32_o[7]),
        .r32_o_6(i_mips_core_cop_data_reg_r32_o[6]),
        .r32_o_5(i_mips_core_cop_data_reg_r32_o[5]),
        .r32_o_4(i_mips_core_cop_data_reg_r32_o[4]),
        .r32_o_3(i_mips_core_cop_data_reg_r32_o[3]),
        .r32_o_2(i_mips_core_cop_data_reg_r32_o[2]),
        .r32_o_1(i_mips_core_cop_data_reg_r32_o[1]),
        .r32_o_0(i_mips_core_cop_data_reg_r32_o[0]),
        .N_60_i(imips_dvc_iseg7_cv_N_60_i),
        .N_58_i(imips_dvc_iseg7_cv_N_58_i),
        .m18_0(imips_dvc_iseg7_cv_m18_0),
        .N_62_i(imips_dvc_iseg7_cv_N_62_i),
        .m15_0(imips_dvc_iseg7_cv_m15_0),
        .m11_0(imips_dvc_iseg7_cv_m11_0),
        .N_44_i(imips_dvc_iseg7_cv_N_44_i),
        .N_29_i(imips_dvc_iseg7_cv_N_29_i),
        .N_27_i(imips_dvc_iseg7_cv_N_27_i),
        .m18(imips_dvc_iseg7_cv_m18),
        .N_31_i(imips_dvc_iseg7_cv_N_31_i),
        .m15(imips_dvc_iseg7_cv_m15),
        .m11(imips_dvc_iseg7_cv_m11),
        .N_13_i(imips_dvc_iseg7_cv_N_13_i),
        .un1_clk_ctr_equ0_0_a2_0(imips_dvc_iuart0_uart_rd_tak_un1_clk_ctr_equ0_0_a2_0),
        .un1_clk_ctr_equ0_0_a2(imips_dvc_iuart0_uart_rd_tak_un1_clk_ctr_equ0_0_a2),
        .G_303(G_303),
        .G_295_x(G_295_x),
        .ser_rxd_c(ser_rxd_c),
        .clk_ctr_equ15_0_a2_0(imips_dvc_iuart0_uart_rd_tak_clk_ctr_equ15_0_a2),
        .bit_ctr23_i_0_o2(imips_dvc_iuart0_uart_txd_bit_ctr23_i_0_o2),
        .G_311(G_311),
        .G_176_x(G_176_x),
        .txd(imips_dvc_iuart0_uart_txd_txd),
        .read_request_ff(imips_dvc_iuart0_uart_txd_read_request_ff),
        .clk_ctr_equ15_0_a2(imips_dvc_iuart0_uart_txd_clk_ctr_equ15_0_a2),
        .wr_cmd_0_a2_1_21_a(imips_dvc_wr_cmd_0_a2_1_21_a),
        .wr_tmr_data_0_a2_0_i(imips_dvc_wr_tmr_data_0_a2_0_i),
        .wr_cmd_0_a2_0(imips_dvc_wr_cmd_0_a2_0),
        .G_320(G_320),
        .G_319(G_319),
        .rst_c(rst_c),
        .key1_c(key1_c),
        .key2_c(key2_c),
        .irq_req_o(imips_dvc_irq_req_o),
        .clk_c(clk_c)
);
endmodule /* mips_sys */

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.