OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [compile.son] - Rev 2

Compare with Previous | Blame | View Log

        vhdlp -s -work  grlib ../../lib/grlib/stdlib/version.vhd

        vhdlp -s -work  grlib ../../lib/grlib/stdlib/stdlib.vhd

        vhdlp -s -work  grlib ../../lib/grlib/stdlib/stdio.vhd

        vhdlp -s -work  grlib ../../lib/grlib/util/util.vhd

        vhdlp -s -work  grlib ../../lib/grlib/sparc/sparc.vhd

        vhdlp -s -work  grlib ../../lib/grlib/sparc/sparc_disas.vhd

        vhdlp -s -work  grlib ../../lib/grlib/sparc/cpu_disas.vhd

        vhdlp -s -work  grlib ../../lib/grlib/modgen/multlib.vhd

        vhdlp -s -work  grlib ../../lib/grlib/modgen/leaves.vhd

        vhdlp -s -work  grlib ../../lib/grlib/amba/amba.vhd

        vhdlp -s -work  grlib ../../lib/grlib/amba/devices.vhd

        vhdlp -s -work  grlib ../../lib/grlib/amba/defmst.vhd

        vhdlp -s -work  grlib ../../lib/grlib/amba/apbctrl.vhd

        vhdlp -s -work  grlib ../../lib/grlib/amba/ahbctrl.vhd

        vhdlp -s -work  grlib ../../lib/grlib/amba/dma2ahb_pkg.vhd

        vhdlp -s -work  grlib ../../lib/grlib/amba/dma2ahb.vhd

        vhdlp -s -work  grlib ../../lib/grlib/amba/dma2ahb_tp.vhd

        vhdlp -s -work  unisim ../../lib/tech/unisim/vcomponents/xilinx_vcomponents.vhd

        vhdlp -s -work  unisim ../../lib/tech/unisim/simprims/xilinx_simprims.vhd

        vhdlp -s -work  unisim ../../lib/tech/unisim/simprims/xilinx_mem.vhd

        vhdlp -s -work  dw02 ../../lib/tech/dw02/comp/DW02_components.vhd

        vhdlp -s -work  synplify ../../lib/synplify/sim/synplify.vhd

        vhdlp -s -work  synplify ../../lib/synplify/sim/synattr.vhd

        vhdlp -s -work  techmap ../../lib/techmap/gencomp/gencomp.vhd

        vhdlp -s -work  techmap ../../lib/techmap/gencomp/netcomp.vhd

        vhdlp -s -work  techmap ../../lib/techmap/inferred/memory_inferred.vhd

        vhdlp -s -work  techmap ../../lib/techmap/inferred/ddr_inferred.vhd

        vhdlp -s -work  techmap ../../lib/techmap/inferred/mul_inferred.vhd

        vhdlp -s -work  techmap ../../lib/techmap/inferred/ddr_phy_inferred.vhd

        vhdlp -s -work  techmap ../../lib/techmap/dw02/mul_dw_gen.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/memory_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/buffer_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/pads_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/clkgen_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/tap_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/ddr_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/ddr_phy_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/grspwc_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/grfpw_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/grusbhc_unisimpkg.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/grusbhc_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/unisim/ssrctrl_unisim.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/allclkgen.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/allddr.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/allmem.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/allpads.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/alltap.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/clkgen.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/clkmux.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/clkand.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/ddr_ireg.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/ddr_oreg.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/ddrphy.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/syncram.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/syncram64.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/syncram_2p.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/syncram_dp.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/syncfifo.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/regfile_3p.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/tap.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/techbuf.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/clkpad.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/clkpad_ds.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/inpad.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/inpad_ds.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/iodpad.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/iopad.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/iopad_ds.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/lvds_combo.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/odpad.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/outpad.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/outpad_ds.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/toutpad.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/skew_outpad.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/grspwc_net.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/grlfpw_net.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/grfpw_net.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/mul_61x61.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/cpu_disas_net.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/grusbhc_net.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/ringosc.vhd

        vhdlp -s -work  techmap ../../lib/techmap/maps/ssrctrl_net.vhd

        vhdlp -s -work  spw ../../lib/spw/comp/spwcomp.vhd

        vhdlp -s -work  spw ../../lib/spw/wrapper/grspw_gen.vhd

        vhdlp -s -work  eth ../../lib/eth/comp/ethcomp.vhd

        vhdlp -s -work  eth ../../lib/eth/core/greth_pkg.vhd

        vhdlp -s -work  eth ../../lib/eth/core/eth_rstgen.vhd

        vhdlp -s -work  eth ../../lib/eth/core/eth_ahb_mst.vhd

        vhdlp -s -work  eth ../../lib/eth/core/greth_tx.vhd

        vhdlp -s -work  eth ../../lib/eth/core/greth_rx.vhd

        vhdlp -s -work  eth ../../lib/eth/core/grethc.vhd

        vhdlp -s -work  eth ../../lib/eth/wrapper/greth_gen.vhd

        vhdlp -s -work  eth ../../lib/eth/wrapper/greth_gbit_gen.vhd

        vhdlp -s -work  opencores ../../lib/opencores/occomp/occomp.vhd

        vhdlp -s -work  opencores ../../lib/opencores/can/cancomp.vhd

        vhdlp -s -work  opencores ../../lib/opencores/can/can_top.vhd

        vhdlp -s -work  opencores ../../lib/opencores/can/can_top_core_sync.vhd

        vhdlp -s -work  opencores ../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd

        vhdlp -s -work  opencores ../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd

        vhdlp -s -work  opencores ../../lib/opencores/i2c/i2coc.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/ud_cnt.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/ro_cnt.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_dma_fifo.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_dma_actrl.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_dma_tctrl.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_pio_tctrl.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_pio_actrl.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_controller.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/atahost_pio_controller.vhd

        vhdlp -s -work  opencores ../../lib/opencores/ata/ocidec2_controller.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/arith/arith.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/arith/mul32.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/arith/div32.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/memctrl.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/sdctrl.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/sdmctrl.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/srctrl.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/memctrl/spimctrl.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/reg_zero.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmuconfig.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmuiface.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/libmmu.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/libiu.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/libcache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/libproc3.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/cachemem.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu_icache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu_dcache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu_acache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmutlbcam.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmulrue.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmulru.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmutlb.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmutw.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mmu_cache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/acache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/dcache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/icache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/cache.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/cpu_disasx.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grfpwx.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/mfpwx.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grlfpwx.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/tbufmem.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/dsu3x.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/dsu3.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/proc3.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3s.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3cg.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/irqmp.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grfpwxsh.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/grfpushwx.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/leon3sh.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/my_mux.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/leon3/top.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/can/can.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_mod.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_oc.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_mc.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/can/canmux.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/can/can_rd.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/misc.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/rstgen.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/gptimer.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbram.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbtrace.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbmst.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/grgpio.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbstat.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/logan.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/apbps2.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/charrom_package.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/charrom.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/apbvga.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/ahbdma.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/svgactrl.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/i2cmst.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/spictrl.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/i2cslv.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/wild.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/misc/wild2ahb.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/net/net.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/uart/uart.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/uart/libdcom.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/uart/apbuart.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/uart/dcom.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/uart/dcom_uart.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/uart/ahbuart.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/sim/sim.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/sim/sram.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/sim/ata_device.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/sim/sram16.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/sim/phy.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/sim/ahbrep.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/jtag.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/libjtagcom.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/jtagcom.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/ahbjtag.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/ahbjtag_bsd.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/jtag/jtagtst.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/greth/ethernet_mac.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/greth/greth.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/greth/greth_gbit.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/greth/grethm.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/spacewire/spacewire.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/spacewire/grspw.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/spacewire/grspw2.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/spacewire/grspwm.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/usb/grusb.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/ata/ata.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/ata/ata_inf.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atahost_amba_slave.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atahost_ahbmst.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/ata/ocidec2_amba_slave.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atactrl_nodma.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atactrl_dma.vhd

        vhdlp -s -work  gaisler ../../lib/gaisler/ata/atactrl.vhd

        vhdlp -s -work  esa ../../lib/esa/memoryctrl/memoryctrl.vhd

        vhdlp -s -work  esa ../../lib/esa/memoryctrl/mctrl.vhd

        vhdlp -s -work  micron ../../lib/micron/sdram/components.vhd

        vhdlp -s -work  micron ../../lib/micron/sdram/mt48lc16m16a2.vhd

        vhdlp -s -work  sonata ../../lib/work/debug/debug.vhd

        vhdlp -s -work  sonata ../../lib/work/debug/grtestmod.vhd

        vhdlp -s -work  sonata ../../lib/work/debug/cpu_disas.vhd

        vhdlp -s -work  sonata config.vhd

        vhdlp -s -work  sonata ahbrom.vhd

        vhdlp -s -work  sonata vga_clkgen.vhd

        vhdlp -s -work  sonata leon3mp.vhd

        vhdlp -s -work  sonata testbench.vhd

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.