OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [leon3mp.syr] - Rev 2

Compare with Previous | Blame | View Log

Release 11.1 - xst L.33 (nt)
Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.
--> Parameter TMPDIR set to xst/projnav.tmp


Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.11 secs
 
--> Parameter xsthdpdir set to xst


Total REAL time to Xst completion: 0.00 secs
Total CPU time to Xst completion: 0.11 secs
 
--> Reading design: leon3mp.prj

TABLE OF CONTENTS
  1) Synthesis Options Summary
  2) HDL Compilation
  3) Design Hierarchy Analysis
  4) HDL Analysis
  5) HDL Synthesis
     5.1) HDL Synthesis Report
  6) Advanced HDL Synthesis
     6.1) Advanced HDL Synthesis Report
  7) Low Level Synthesis
  8) Partition Report
  9) Final Report
        9.1) Device utilization summary
        9.2) Partition Resource Summary
        9.3) TIMING REPORT


=========================================================================
*                      Synthesis Options Summary                        *
=========================================================================
---- Source Parameters
Input File Name                    : "leon3mp.prj"
Input Format                       : mixed
Ignore Synthesis Constraint File   : NO

---- Target Parameters
Output File Name                   : "leon3mp"
Output Format                      : NGC
Target Device                      : xc3s1500-4-fg456

---- Source Options
Top Module Name                    : leon3mp
Automatic FSM Extraction           : NO
FSM Style                          : lut
RAM Extraction                     : Yes
RAM Style                          : Auto
ROM Extraction                     : Yes
Mux Style                          : Auto
Decoder Extraction                 : YES
Priority Encoder Extraction        : YES
Shift Register Extraction          : YES
Logical Shifter Extraction         : YES
XOR Collapsing                     : YES
ROM Style                          : Auto
Mux Extraction                     : YES
Resource Sharing                   : YES
Asynchronous To Synchronous        : NO
Automatic Register Balancing       : No

---- Target Options
Add IO Buffers                     : YES
Add Generic Clock Buffer(BUFG)     : 8
Register Duplication               : YES
Slice Packing                      : YES
Optimize Instantiated Primitives   : NO
Use Clock Enable                   : Yes
Use Synchronous Set                : Yes
Use Synchronous Reset              : Yes
Pack IO Registers into IOBs        : true
Equivalent register Removal        : YES

---- General Options
Optimization Goal                  : Speed
Optimization Effort                : 1
Library Search Order               : leon3mp.lso
Keep Hierarchy                     : NO
Netlist Hierarchy                  : as_optimized
RTL Output                         : Yes
Global Optimization                : AllClockNets
Read Cores                         : YES
Write Timing Constraints           : NO
Cross Clock Analysis               : NO
Hierarchy Separator                : /
Bus Delimiter                      : ()
Case Specifier                     : maintain
Slice Utilization Ratio            : 100
BRAM Utilization Ratio             : 100
Verilog 2001                       : YES
Auto BRAM Packing                  : NO
Slice Utilization Ratio Delta      : 5

=========================================================================


=========================================================================
*                          HDL Compilation                              *
=========================================================================
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/version.vhd" in Library grlib.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" in Library grlib.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 186. In the function *, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 200. In the function signed_mul, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 216. In the function +, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 227. In the function +, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 238. In the function +, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 251. In the function +, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 267. In the function -, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 278. In the function -, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 289. In the function -, not all control paths contain a return statement.
WARNING:HDLParsers:3534 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/stdlib/stdlib.vhd" Line 302. In the function -, not all control paths contain a return statement.
Architecture stdlib of Entity stdlib is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/amba.vhd" in Library grlib.
Architecture amba of Entity amba is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/devices.vhd" in Library grlib.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/sparc/sparc.vhd" in Library grlib.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/modgen/multlib.vhd" in Library grlib.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/apbctrl.vhd" in Library grlib.
Architecture rtl of Entity apbctrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" in Library grlib.
Architecture rtl of Entity ahbctrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/gencomp.vhd" in Library techmap.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd" in Library techmap.
Architecture behavioral of Entity generic_syncram is up to date.
Architecture behav of Entity generic_syncram_2p is up to date.
Architecture rtl of Entity generic_regfile_3p is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/buffer_unisim.vhd" in Library techmap.
Architecture rtl of Entity clkbuf_xilinx is up to date.
Architecture rtl of Entity clkmux_xilinx is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allmem.vhd" in Library techmap.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" in Library techmap.
Architecture behav of Entity virtex_syncram is up to date.
Architecture behav of Entity virtex_syncram_dp is up to date.
Architecture behav of Entity virtex2_syncram is up to date.
Architecture behav of Entity virtex2_syncram_dp is up to date.
Architecture behav of Entity virtex2_syncram_2p is up to date.
Architecture behav of Entity virtex2_syncram64 is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allclkgen.vhd" in Library techmap.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" in Library techmap.
Architecture struct of Entity clkgen_virtex2 is up to date.
Architecture rtl of Entity clkgen_virtex is up to date.
Architecture struct of Entity clkmul_virtex2 is up to date.
Architecture struct of Entity clkgen_spartan3 is up to date.
Architecture struct of Entity clkgen_virtex5 is up to date.
Architecture rtl of Entity clkand_unisim is up to date.
Architecture rtl of Entity clkmux_unisim is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/allpads.vhd" in Library techmap.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" in Library techmap.
Architecture rtl of Entity virtex_inpad is up to date.
Architecture rtl of Entity virtex_iopad is up to date.
Architecture rtl of Entity virtex_outpad is up to date.
Architecture rtl of Entity virtex_toutpad is up to date.
Architecture rtl of Entity virtex_skew_outpad is up to date.
Architecture rtl of Entity virtex_clkpad is up to date.
Architecture rtl of Entity virtex_outpad_ds is up to date.
Architecture rtl of Entity virtex_inpad_ds is up to date.
Architecture rtl of Entity virtex_clkpad_ds is up to date.
Architecture rtl of Entity virtex4_inpad_ds is up to date.
Architecture rtl of Entity virtex4_clkpad_ds is up to date.
Architecture rtl of Entity virtex5_iopad_ds is up to date.
Architecture rtl of Entity virtex5_outpad_ds is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/alltap.vhd" in Library techmap.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd" in Library techmap.
Architecture rtl of Entity virtex_tap is up to date.
Architecture rtl of Entity virtex2_tap is up to date.
Architecture rtl of Entity spartan3_tap is up to date.
Architecture rtl of Entity virtex4_tap is up to date.
Architecture rtl of Entity virtex5_tap is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/techbuf.vhd" in Library techmap.
Architecture rtl of Entity techbuf is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grfpw_unisim.vhd" in Library techmap.
Architecture rtl of Entity grfpw_unisim is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/grspwc_unisim.vhd" in Library techmap.
Architecture rtl of Entity grspwc_unisim is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_2p.vhd" in Library techmap.
Architecture rtl of Entity syncram_2p is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd" in Library techmap.
Architecture rtl of Entity syncram is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram64.vhd" in Library techmap.
Architecture rtl of Entity syncram64 is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_dp.vhd" in Library techmap.
Architecture rtl of Entity syncram_dp is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/regfile_3p.vhd" in Library techmap.
Architecture rtl of Entity regfile_3p is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grspwc_net.vhd" in Library techmap.
Architecture rtl of Entity grspwc_net is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grlfpw_net.vhd" in Library techmap.
Architecture rtl of Entity grlfpw_net is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/grfpw_net.vhd" in Library techmap.
Architecture rtl of Entity grfpw_net is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkand.vhd" in Library techmap.
Architecture rtl of Entity clkand is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/gencomp/netcomp.vhd" in Library techmap.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/tap.vhd" in Library techmap.
Architecture rtl of Entity tap is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad_ds.vhd" in Library techmap.
Architecture rtl of Entity outpad_ds is up to date.
Architecture rtl of Entity outpad_dsv is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd" in Library techmap.
Architecture rtl of Entity outpad is up to date.
Architecture rtl of Entity outpadv is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd" in Library techmap.
Architecture rtl of Entity odpad is up to date.
Architecture rtl of Entity odpadv is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd" in Library techmap.
Architecture rtl of Entity iopad is up to date.
Architecture rtl of Entity iopadv is up to date.
Architecture rtl of Entity iopadvv is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad_ds.vhd" in Library techmap.
Architecture rtl of Entity inpad_ds is up to date.
Architecture rtl of Entity inpad_dsv is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd" in Library techmap.
Architecture rtl of Entity inpad is up to date.
Architecture rtl of Entity inpadv is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad.vhd" in Library techmap.
Architecture rtl of Entity clkpad is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkgen.vhd" in Library techmap.
Architecture struct of Entity clkgen is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmuconfig.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/arith.vhd" in Library gaisler.
Architecture arith of Entity arith is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libiu.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmuiface.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ud_cnt.vhd" in Library opencores.
Architecture structural of Entity ud_cnt is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libcache.vhd" in Library gaisler.
Architecture libcache of Entity libcache is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ro_cnt.vhd" in Library opencores.
Architecture structural of Entity ro_cnt is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmulrue.vhd" in Library gaisler.
Architecture rtl of Entity mmulrue is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_tctrl.vhd" in Library opencores.
Architecture structural of Entity atahost_pio_tctrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libmmu.vhd" in Library gaisler.
Architecture libmmu of Entity libmmu is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutlbcam.vhd" in Library gaisler.
Architecture rtl of Entity mmutlbcam is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmulru.vhd" in Library gaisler.
Architecture rtl of Entity mmulru is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_fifo.vhd" in Library opencores.
Architecture rtl of Entity atahost_dma_fifo is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_tctrl.vhd" in Library opencores.
Architecture structural of Entity atahost_dma_tctrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_actrl.vhd" in Library opencores.
Architecture structural of Entity atahost_pio_actrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ata.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/misc.vhd" in Library gaisler.
Architecture misc of Entity misc is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_pkg.vhd" in Library eth.
Architecture grethpkg of Entity grethpkg is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/eth_rstgen.vhd" in Library eth.
Architecture rtl of Entity eth_rstgen is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutlb.vhd" in Library gaisler.
Architecture rtl of Entity mmutlb is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmutw.vhd" in Library gaisler.
Architecture rtl of Entity mmutw is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/memctrl.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_pio_controller.vhd" in Library opencores.
Architecture structural of Entity atahost_pio_controller is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_dma_actrl.vhd" in Library opencores.
Architecture structural of Entity atahost_dma_actrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ata_inf.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_tx.vhd" in Library eth.
Architecture rtl of Entity greth_tx is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/greth_rx.vhd" in Library eth.
Architecture rtl of Entity greth_rx is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/eth_ahb_mst.vhd" in Library eth.
Architecture rtl of Entity eth_ahb_mst is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/net/net.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_icache.vhd" in Library gaisler.
Architecture rtl of Entity mmu_icache is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_dcache.vhd" in Library gaisler.
Architecture rtl of Entity mmu_dcache is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_acache.vhd" in Library gaisler.
Architecture rtl of Entity mmu_acache is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu.vhd" in Library gaisler.
Architecture rtl of Entity mmu is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/icache.vhd" in Library gaisler.
Architecture rtl of Entity icache is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dcache.vhd" in Library gaisler.
Architecture rtl of Entity dcache is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd" in Library gaisler.
Architecture behavioural of Entity reg_zero is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/my_mux.vhd" in Library gaisler.
Architecture rtl of Entity my_mux is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/uart.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/can/can_top.vhd" in Library opencores.
Architecture rtl of Entity can_acf is up to date.
Architecture rtl of Entity can_btl is up to date.
Architecture rtl of Entity can_fifo is up to date.
Architecture rtl of Entity can_crc is up to date.
Architecture rtl of Entity can_ibo is up to date.
Architecture rtl of Entity can_bsp is up to date.
Architecture rtl of Entity can_register is up to date.
Architecture rtl of Entity can_register_asyn is up to date.
Architecture rtl of Entity can_register_asyn_syn is up to date.
Architecture rtl of Entity can_register_syn is up to date.
Architecture rtl of Entity can_registers is up to date.
Architecture rtl of Entity can_top is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/can/cancomp.vhd" in Library opencores.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/ocidec2_controller.vhd" in Library opencores.
Architecture structural of Entity ocidec2_controller is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/ata/atahost_controller.vhd" in Library opencores.
Architecture structural of Entity atahost_controller is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/opencores/occomp/occomp.vhd" in Library opencores.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/ocidec2_amba_slave.vhd" in Library gaisler.
Architecture rtl of Entity ocidec2_amba_slave is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atahost_amba_slave.vhd" in Library gaisler.
Architecture rtl of Entity atahost_amba_slave is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbmst.vhd" in Library gaisler.
Architecture rtl of Entity ahbmst is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atahost_ahbmst.vhd" in Library gaisler.
Architecture rtl of Entity atahost_ahbmst is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/ethernet_mac.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/core/grethc.vhd" in Library eth.
Architecture rtl of Entity grethc is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/eth/comp/ethcomp.vhd" in Library eth.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/libjtagcom.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/tbufmem.vhd" in Library gaisler.
Architecture rtl of Entity tbufmem is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/top.vhd" in Library gaisler.
Architecture behavioral of Entity top is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/mul32.vhd" in Library gaisler.
Architecture rtl of Entity mul32 is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/div32.vhd" in Library gaisler.
Architecture rtl of Entity div32 is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cache.vhd" in Library gaisler.
Architecture rtl of Entity cache is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_cache.vhd" in Library gaisler.
Architecture rtl of Entity mmu_cache is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/spacewire.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/spw/comp/spwcomp.vhd" in Library spw.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/libdcom.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl_dma.vhd" in Library gaisler.
Architecture rtl of Entity atactrl_dma is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl_nodma.vhd" in Library gaisler.
Architecture rtl of Entity atactrl_nodma is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can_mod.vhd" in Library gaisler.
Architecture rtl of Entity can_mod is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/greth.vhd" in Library gaisler.
Architecture rtl of Entity greth is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/greth_gbit.vhd" in Library gaisler.
Architecture rtl of Entity greth_gbit is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtag.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtagcom.vhd" in Library gaisler.
Architecture rtl of Entity jtagcom is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dsu3x.vhd" in Library gaisler.
Architecture rtl of Entity dsu3x is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/libproc3.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/proc3.vhd" in Library gaisler.
Architecture rtl of Entity proc3 is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" in Library gaisler.
Architecture rtl of Entity cachemem is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/grfpwx.vhd" in Library gaisler.
Architecture rtl of Entity grfpwx is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mfpwx.vhd" in Library gaisler.
Architecture rtl of Entity mfpwx is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/grlfpwx.vhd" in Library gaisler.
Architecture rtl of Entity grlfpwx is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/charrom_package.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/charrom.vhd" in Library gaisler.
Architecture rtl of Entity charrom is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspw.vhd" in Library gaisler.
Architecture rtl of Entity grspw is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspw2.vhd" in Library gaisler.
Architecture rtl of Entity grspw2 is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom_uart.vhd" in Library gaisler.
Architecture rtl of Entity dcom_uart is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom.vhd" in Library gaisler.
Architecture struct of Entity dcom is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/sdmctrl.vhd" in Library gaisler.
Architecture rtl of Entity sdmctrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/usb/grusb.vhd" in Library gaisler.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/apbuart.vhd" in Library gaisler.
Architecture rtl of Entity apbuart is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/ahbuart.vhd" in Library gaisler.
Architecture struct of Entity ahbuart is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/spacewire/grspwm.vhd" in Library gaisler.
Architecture rtl of Entity grspwm is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/svgactrl.vhd" in Library gaisler.
Architecture rtl of Entity svgactrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/rstgen.vhd" in Library gaisler.
Architecture rtl of Entity rstgen is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/grgpio.vhd" in Library gaisler.
Architecture rtl of Entity grgpio is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/gptimer.vhd" in Library gaisler.
Architecture rtl of Entity gptimer is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/apbvga.vhd" in Library gaisler.
Architecture rtl of Entity apbvga is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/apbps2.vhd" in Library gaisler.
Architecture rtl of Entity apbps2 is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbstat.vhd" in Library gaisler.
Architecture rtl of Entity ahbstat is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbram.vhd" in Library gaisler.
Architecture rtl of Entity ahbram is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3s.vhd" in Library gaisler.
Architecture rtl of Entity leon3s is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/irqmp.vhd" in Library gaisler.
Architecture rtl of Entity irqmp is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dsu3.vhd" in Library gaisler.
Architecture rtl of Entity dsu3 is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/ahbjtag.vhd" in Library gaisler.
Architecture struct of Entity ahbjtag is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/greth/grethm.vhd" in Library gaisler.
Architecture rtl of Entity grethm is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/can/can_mc.vhd" in Library gaisler.
Architecture rtl of Entity can_mc is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/ata/atactrl.vhd" in Library gaisler.
Architecture rtl of Entity atactrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/memoryctrl.vhd" in Library esa.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd" in Library esa.
Architecture rtl of Entity mctrl is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/config.vhd" in Library work.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/ahbrom.vhd" in Library work.
Architecture rtl of Entity ahbrom is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/vga_clkgen.vhd" in Library work.
Architecture struct of Entity vga_clkgen is up to date.
Compiling vhdl file "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" in Library work.
Architecture rtl of Entity leon3mp is up to date.
Compiling verilog file "../../lib/gaisler/vlog/ulit.v" in library work
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
Module <cal_cpi> compiled
Module <add32> compiled
Module <jack> compiled
Module <wb_mux> compiled
Module <or32> compiled
Module <rd_sel> compiled
Module <ext_ctl_reg_clr_cls> compiled
Module <rd_sel_reg_clr_cls> compiled
Module <cmp_ctl_reg_clr_cls> compiled
Module <pc_gen_ctl_reg_clr_cls> compiled
Module <fsm_ctl_reg_clr_cls> compiled
Module <muxa_ctl_reg_clr_cls> compiled
Module <muxb_ctl_reg_clr_cls> compiled
Module <alu_func_reg_clr_cls> compiled
Module <alu_we_reg_clr_cls> compiled
Module <dmem_ctl_reg_clr_cls> compiled
Module <wb_mux_ctl_reg_clr_cls> compiled
Module <wb_we_reg_clr_cls> compiled
Module <ins_reg_clr_cls> compiled
Module <pc_reg_clr_cls> compiled
Module <spc_reg_clr_cls> compiled
Module <r1_reg_clr_cls> compiled
Module <r2_reg_clr_cls> compiled
Module <r3_reg_clr_cls> compiled
Module <r4_reg_clr_cls> compiled
Module <r5_reg_clr_cls> compiled
Module <r32_reg_clr_cls> compiled
Module <ext_ctl_reg_clr> compiled
Module <rd_sel_reg_clr> compiled
Module <cmp_ctl_reg_clr> compiled
Module <pc_gen_ctl_reg_clr> compiled
Module <fsm_ctl_reg_clr> compiled
Module <muxa_ctl_reg_clr> compiled
Module <muxb_ctl_reg_clr> compiled
Module <alu_func_reg_clr> compiled
Module <alu_we_reg_clr> compiled
Module <dmem_ctl_reg_clr> compiled
Module <wb_mux_ctl_reg_clr> compiled
Module <wb_we_reg_clr> compiled
Module <ins_reg_clr> compiled
Module <pc_reg_clr> compiled
Module <spc_reg_clr> compiled
Module <r1_reg_clr> compiled
Module <r2_reg_clr> compiled
Module <r3_reg_clr> compiled
Module <r4_reg_clr> compiled
Module <r5_reg_clr> compiled
Module <r32_reg_clr> compiled
Module <ext_ctl_reg> compiled
Module <rd_sel_reg> compiled
Module <cmp_ctl_reg> compiled
Module <pc_gen_ctl_reg> compiled
Module <fsm_ctl_reg> compiled
Module <muxa_ctl_reg> compiled
Module <muxb_ctl_reg> compiled
Module <alu_func_reg> compiled
Module <alu_we_reg> compiled
Module <dmem_ctl_reg> compiled
Module <wb_mux_ctl_reg> compiled
Module <wb_we_reg> compiled
Module <ins_reg> compiled
Module <pc_reg> compiled
Module <spc_reg> compiled
Module <r1_reg> compiled
Module <r2_reg> compiled
Module <r3_reg> compiled
Module <r4_reg> compiled
Module <r5_reg> compiled
Module <r32_reg> compiled
Module <r32_inst_reg> compiled
Module <r32_data_reg> compiled
Module <r4_asi_reg> compiled
Module <branch_reg_whold> compiled
Module <r32_pc_reg> compiled
Module <r4_rdaddr_reg> compiled
Module <ext_ctl_reg_cls> compiled
Module <rd_sel_reg_cls> compiled
Module <cmp_ctl_reg_cls> compiled
Module <pc_gen_ctl_reg_cls> compiled
Module <fsm_ctl_reg_cls> compiled
Module <muxa_ctl_reg_cls> compiled
Module <muxb_ctl_reg_cls> compiled
Module <alu_func_reg_cls> compiled
Module <alu_we_reg_cls> compiled
Module <dmem_ctl_reg_cls> compiled
Module <wb_mux_ctl_reg_cls> compiled
Module <wb_we_reg_cls> compiled
Module <ins_reg_cls> compiled
Module <pc_reg_cls> compiled
Module <spc_reg_cls> compiled
Module <r1_reg_cls> compiled
Module <r2_reg_cls> compiled
Module <r3_reg_cls> compiled
Module <r4_reg_cls> compiled
Module <r5_reg_cls> compiled
Compiling verilog file "../../lib/gaisler/vlog/RF_components1.v" in library work
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
Module <r32_reg_cls> compiled
Module <ext> compiled
Module <compare> compiled
Module <pc_gen> compiled
Compiling verilog file "../../lib/gaisler/vlog/forward.v" in library work
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
Module <reg_array> compiled
Module <fw_latch5> compiled
Module <fw_latch1> compiled
Module <forward_node> compiled
Module <fwd_mux> compiled
Compiling verilog file "../../lib/gaisler/vlog/ctl_fsm1.v" in library work
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
Module <forward> compiled
Compiling verilog file "../../lib/gaisler/vlog/RF_stage1.v" in library work
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
Module <ctl_FSM> compiled
Compiling verilog file "../../lib/gaisler/vlog/hazard_unit.v" in library work
Module <rf_stage> compiled
Compiling verilog file "../../lib/gaisler/vlog/EXEC_stage.v" in library work
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
Module <hazard_unit> compiled
Module <exec_stage> compiled
Module <mips_alu> compiled
Module <alu_muxa> compiled
Module <alu_muxb> compiled
Module <alu> compiled
Module <shifter_ff> compiled
Module <shifter_tak> compiled
Module <muldiv> compiled
Compiling verilog file "../../lib/gaisler/vlog/decode_pipe1.v" in library work
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
Module <muldiv_ff> compiled
Module <decoder> compiled
Module <pipelinedregs> compiled
Compiling verilog file "../../lib/gaisler/vlog/core1.v" in library work
Compiling verilog include file "../../lib/gaisler/vlog/mips789_defs.v"
Module <decode_pipe> compiled
Module <mips_core> compiled
No errors in compilation
Analysis of file <"leon3mp.prj"> succeeded.
 

=========================================================================
*                     Design Hierarchy Analysis                         *
=========================================================================
Analyzing hierarchy for entity <leon3mp> in library <work> (architecture <rtl>) with generics.
        clktech = 11
        dbguart = 0
        disas = 0
        fabtech = 11
        memtech = 11
        padtech = 11
        pclow = 2

Analyzing hierarchy for entity <clkpad> in library <techmap> (architecture <rtl>) with generics.
        arch = 0
        hf = 0
        level = 0
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <inpad> in library <techmap> (architecture <rtl>) with generics.
        filter = 0
        level = 0
        strength = 0
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <clkgen> in library <techmap> (architecture <struct>) with generics.
        clk2xen = 0
        clk_div = 5
        clk_mul = 4
        clk_odiv = 0
        clksel = 0
        freq = 50000
        noclkfb = 0
        pcidll = 0
        pcien = 0
        pcisysclk = 0
        sdramen = 1
        tech = 11

Analyzing hierarchy for entity <outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 1
        strength = 24
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <rstgen> in library <gaisler> (architecture <rtl>) with generics.
        acthigh = 0
        scanen = 0
        syncrst = 0

Analyzing hierarchy for entity <ahbctrl> in library <grlib> (architecture <rtl>) with generics.
        arbdisable = 0
        asserterr = 0
        assertwarn = 0
        cfgaddr = 4080
        cfgmask = 4080
        debug = 2
        defmast = 0
        devid = 0
        disirq = 0
        enbusmon = 0
        enebterm = 0
        fixbrst = 0
        fpnpen = 0
        hmstdisable = 0
        hslvdisable = 0
        icheck = 1
        ioaddr = 4095
        ioen = 0
        iomask = 4095
        mprio = 0
        nahbm = 3
        nahbs = 8
        rrobin = 1
        split = 0
        timeout = 0

Analyzing hierarchy for entity <leon3s> in library <gaisler> (architecture <rtl>) with generics.
        cached = 0
        cp = 0
        dcen = 1
        disas = 0
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        dlramstart = 143
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        dsu = 0
        dtlbnum = 2
        fabtech = 11
        fpu = 0
        hindex = 0
        icen = 1
        ilinesize = 8
        ilram = 0
        ilramsize = 1
        ilramstart = 142
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        itlbnum = 2
        lddel = 1
        mac = 0
        memtech = 11
        mmuen = 0
        notag = 0
        nwindows = 8
        nwp = 2
        pclow = 2
        pwd = 0
        rstaddr = 0
        scantest = 0
        smp = 0
        svt = 1
        tbuf = 0
        tlb_rep = 1
        tlb_type = 1
        v8 = 50

Analyzing hierarchy for entity <odpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        oepol = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <ahbuart> in library <gaisler> (architecture <struct>) with generics.
        hindex = 1
        paddr = 7
        pindex = 7
        pmask = 4095

Analyzing hierarchy for entity <outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <ahbjtag> in library <gaisler> (architecture <struct>) with generics.
        ainst = 2
        dinst = 3
        hindex = 2
        idcode = 9
        manf = 804
        nsync = 1
        part = 0
        scantest = 0
        tech = 11
        ver = 0

Analyzing hierarchy for entity <mctrl> in library <esa> (architecture <rtl>) with generics.
        fast = 0
        hindex = 0
        invclk = 0
        ioaddr = 512
        iomask = 3584
        mobile = 0
        oepol = 0
        paddr = 0
        pageburst = 0
        pindex = 0
        pmask = 4095
        ram16 = 1
        ram8 = 1
        ramaddr = 1024
        rammask = 3072
        romaddr = 0
        romasel = 28
        rommask = 3584
        scantest = 0
        sdbits = 32
        sden = 1
        sdlsb = 2
        sdrasel = 29
        sepbus = 0
        srbanks = 2
        syncrst = 0
        wprot = 0

Analyzing hierarchy for entity <outpadv> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 4

Analyzing hierarchy for entity <outpadv> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 2

Analyzing hierarchy for entity <outpadv> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 28

Analyzing hierarchy for entity <outpadv> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 5

Analyzing hierarchy for entity <iopadv> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        oepol = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 8

Analyzing hierarchy for entity <apbctrl> in library <grlib> (architecture <rtl>) with generics.
        asserterr = 0
        assertwarn = 0
        debug = 2
        enbusmon = 0
        haddr = 2048
        hindex = 1
        hmask = 4095
        icheck = 1
        nslaves = 16
        pslvdisable = 0

Analyzing hierarchy for entity <apbuart> in library <gaisler> (architecture <rtl>) with generics.
        abits = 8
        console = 0
        fifosize = 4
        flow = 1
        paddr = 1
        parity = 1
        pindex = 1
        pirq = 2
        pmask = 4095

Analyzing hierarchy for entity <irqmp> in library <gaisler> (architecture <rtl>) with generics.
        eirq = 0
        ncpu = 1
        paddr = 2
        pindex = 2
        pmask = 4095

Analyzing hierarchy for entity <iopad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        oepol = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <outpadv> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 8

Analyzing hierarchy for entity <virtex_clkpad> in library <techmap> (architecture <rtl>) with generics.
        arch = 0
        hf = 0
        level = 0
        voltage = 3

Analyzing hierarchy for entity <virtex_inpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        voltage = 3

Analyzing hierarchy for entity <clkgen_spartan3> in library <techmap> (architecture <struct>) with generics.
        clk2xen = 0
        clk_div = 5
        clk_mul = 4
        clksel = 0
        freq = 50000
        noclkfb = 0
        pcidll = 0
        pcien = 0
        pcisysclk = 0
        sdramen = 1

Analyzing hierarchy for entity <virtex_outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 1
        strength = 24
        voltage = 3

Analyzing hierarchy for entity <proc3> in library <gaisler> (architecture <rtl>) with generics.
        cached = 0
        clk2x = 0
        cp = 0
        dcen = 1
        disas = 0
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        dlramstart = 143
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        dsu = 0
        dtlbnum = 2
        fabtech = 11
        fpu = 0
        hindex = 0
        icen = 1
        ilinesize = 8
        ilram = 0
        ilramsize = 1
        ilramstart = 142
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        itlbnum = 2
        lddel = 1
        mac = 0
        memtech = 11
        mmuen = 0
        notag = 0
        nwindows = 8
        nwp = 2
        pclow = 2
        pwd = 0
        rstaddr = 0
        scantest = 0
        smp = 0
        svt = 1
        tbuf = 0
        tlb_rep = 1
        tlb_type = 1
        v8 = 50

Analyzing hierarchy for entity <regfile_3p> in library <techmap> (architecture <rtl>) with generics.
        abits = 5
        dbits = 32
        numregs = 32
        tech = 11
        wrfst = 1

Analyzing hierarchy for entity <cachemem> in library <gaisler> (architecture <rtl>) with generics.
        dcen = 1
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        icen = 1
        ilinesize = 8
        ilram = 0
        ilramsize = 1
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        mmuen = 0
        tech = 11

Analyzing hierarchy for entity <virtex_toutpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        voltage = 3

Analyzing hierarchy for entity <ahbmst> in library <gaisler> (architecture <rtl>) with generics.
        chprot = 3
        devid = 7
        hindex = 1
        hirq = 0
        incaddr = 0
        venid = 1
        version = 0

Analyzing hierarchy for entity <dcom_uart> in library <gaisler> (architecture <rtl>) with generics.
        paddr = 7
        pindex = 7
        pmask = 4095

Analyzing hierarchy for entity <dcom> in library <gaisler> (architecture <struct>).

Analyzing hierarchy for entity <virtex_outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        voltage = 3

Analyzing hierarchy for entity <ahbmst> in library <gaisler> (architecture <rtl>) with generics.
        chprot = 3
        devid = 28
        hindex = 2
        hirq = 0
        incaddr = 0
        venid = 1
        version = 0

Analyzing hierarchy for entity <tap> in library <techmap> (architecture <rtl>) with generics.
        idcode = 9
        irlen = 6
        manf = 804
        part = 0
        scantest = 0
        tech = 11
        trsten = 1
        ver = 0

Analyzing hierarchy for entity <jtagcom> in library <gaisler> (architecture <rtl>) with generics.
        ainst = 2
        dinst = 3
        isel = 1
        nsync = 1

Analyzing hierarchy for entity <sdmctrl> in library <gaisler> (architecture <rtl>) with generics.
        fast = 0
        invclk = 0
        mobile = 0
        pageburst = 0
        pindex = 0
        sdbits = 32
        wprot = 0

Analyzing hierarchy for entity <outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <iopad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        oepol = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3

Analyzing hierarchy for entity <virtex_iopad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        voltage = 3

Analyzing hierarchy for entity <top> in library <gaisler> (architecture <behavioral>).

Analyzing hierarchy for entity <mul32> in library <gaisler> (architecture <rtl>) with generics.
        infer = 1
        mac = 0
        multype = 3
        pipe = 1

Analyzing hierarchy for entity <div32> in library <gaisler> (architecture <rtl>).

Analyzing hierarchy for entity <cache> in library <gaisler> (architecture <rtl>) with generics.
        cached = 0
        clk2x = 0
        dcen = 1
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        dlramstart = 143
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        dsu = 0
        hindex = 0
        icen = 1
        ilinesize = 8
        ilram = 0
        ilramsize = 1
        ilramstart = 142
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        memtech = 11
        scantest = 0

Analyzing hierarchy for entity <generic_regfile_3p> in library <techmap> (architecture <rtl>) with generics.
        abits = 5
        dbits = 32
        numregs = 32
        tech = 11
        wrfst = 1

Analyzing hierarchy for entity <syncram> in library <techmap> (architecture <rtl>) with generics.
        abits = 7
        dbits = 28
        tech = 11

Analyzing hierarchy for entity <syncram> in library <techmap> (architecture <rtl>) with generics.
        abits = 10
        dbits = 32
        tech = 11

Analyzing hierarchy for entity <syncram_dp> in library <techmap> (architecture <rtl>) with generics.
        abits = 8
        dbits = 24
        tech = 11

Analyzing hierarchy for entity <spartan3_tap> in library <techmap> (architecture <rtl>).

Analyzing hierarchy for entity <virtex_outpad> in library <techmap> (architecture <rtl>) with generics.
        level = 0
        slew = 0
        strength = 12
        voltage = 3

Analyzing hierarchy for entity <reg_zero> in library <gaisler> (architecture <behavioural>).

Analyzing hierarchy for entity <my_mux> in library <gaisler> (architecture <rtl>).

Analyzing hierarchy for module <mips_core> in library <work>.

Analyzing hierarchy for entity <icache> in library <gaisler> (architecture <rtl>) with generics.
        icen = 1
        ilinesize = 8
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        lram = 0
        lramsize = 1
        lramstart = 142

Analyzing hierarchy for entity <dcache> in library <gaisler> (architecture <rtl>) with generics.
        cached = 0
        dcen = 1
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        dlramstart = 143
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        dsu = 0
        ilram = 0
        ilramstart = 142
        memtech = 11

Analyzing hierarchy for entity <mmu_acache> in library <gaisler> (architecture <rtl>) with generics.
        cached = 0
        clk2x = 0
        hindex = 0
        ilinesize = 8
        scantest = 0

Analyzing hierarchy for entity <virtex2_syncram> in library <techmap> (architecture <behav>) with generics.
        abits = 7
        dbits = 28

Analyzing hierarchy for entity <virtex2_syncram> in library <techmap> (architecture <behav>) with generics.
        abits = 10
        dbits = 32

Analyzing hierarchy for entity <virtex2_syncram_dp> in library <techmap> (architecture <behav>) with generics.
        abits = 8
        dbits = 24

Analyzing hierarchy for module <rf_stage> in library <work>.

Analyzing hierarchy for module <exec_stage> in library <work>.

Analyzing hierarchy for module <r32_reg> in library <work>.

Analyzing hierarchy for module <r32_inst_reg> in library <work>.

Analyzing hierarchy for module <r32_data_reg> in library <work>.

Analyzing hierarchy for module <decode_pipe> in library <work>.

Analyzing hierarchy for module <forward> in library <work>.

Analyzing hierarchy for module <r5_reg> in library <work>.

Analyzing hierarchy for module <wb_mux> in library <work>.

Analyzing hierarchy for module <hazard_unit> in library <work>.

Analyzing hierarchy for module <r4_asi_reg> in library <work>.

Analyzing hierarchy for module <r4_rdaddr_reg> in library <work>.

Analyzing hierarchy for module <cal_cpi> in library <work>.

Analyzing hierarchy for module <ctl_FSM> in library <work> with parameters.
        ID_CUR = "00000000000000000000000000000001"
        ID_LD = "00000000000000000000000000000101"
        ID_MUL = "00000000000000000000000000000010"
        ID_NOI = "00000000000000000000000000000110"
        ID_RET = "00000000000000000000000000000100"
        PC_IGN = "00000000000000000000000000000001"
        PC_IRQ = "00000000000000000000000000000100"
        PC_KEP = "00000000000000000000000000000010"
        PC_RST = "00000000000000000000000000001000"

Analyzing hierarchy for module <pc_gen> in library <work>.

Analyzing hierarchy for module <compare> in library <work>.

Analyzing hierarchy for module <ext> in library <work>.

Analyzing hierarchy for module <r32_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <jack> in library <work>.

Analyzing hierarchy for module <rd_sel> in library <work>.

Analyzing hierarchy for module <fwd_mux> in library <work>.

Analyzing hierarchy for module <mips_alu> in library <work>.

Analyzing hierarchy for module <add32> in library <work>.

Analyzing hierarchy for module <alu_muxa> in library <work>.

Analyzing hierarchy for module <alu_muxb> in library <work>.

Analyzing hierarchy for module <r32_reg_cls> in library <work>.

Analyzing hierarchy for module <decoder> in library <work>.

Analyzing hierarchy for module <pipelinedregs> in library <work>.

Analyzing hierarchy for module <forward_node> in library <work>.

Analyzing hierarchy for module <fw_latch5> in library <work>.

Analyzing hierarchy for module <r1_reg> in library <work>.

Analyzing hierarchy for module <r5_reg> in library <work>.

Analyzing hierarchy for module <muldiv_ff> in library <work> with parameters.
        OP_DIV = "00000000000000000000000000001011"
        OP_DIVU = "00000000000000000000000000001010"
        OP_MFHI = "00000000000000000000000000000110"
        OP_MFLO = "00000000000000000000000000000111"
        OP_MTHI = "00000000000000000000000000011111"
        OP_MTLO = "00000000000000000000000000011110"
        OP_MULT = "00000000000000000000000000001001"
        OP_MULTU = "00000000000000000000000000001000"
        OP_NONE = "00000000000000000000000000000000"

Analyzing hierarchy for module <shifter_tak> in library <work>.

Analyzing hierarchy for module <alu> in library <work>.

Analyzing hierarchy for module <muxb_ctl_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <wb_mux_ctl_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <wb_we_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <wb_we_reg> in library <work>.

Analyzing hierarchy for module <wb_mux_ctl_reg_clr> in library <work>.

Analyzing hierarchy for module <muxb_ctl_reg_clr> in library <work>.

Analyzing hierarchy for module <dmem_ctl_reg_clr> in library <work>.

Analyzing hierarchy for module <alu_func_reg_clr> in library <work>.

Analyzing hierarchy for module <muxa_ctl_reg_clr> in library <work>.

Analyzing hierarchy for module <wb_mux_ctl_reg> in library <work>.

Analyzing hierarchy for module <wb_we_reg_clr> in library <work>.

Analyzing hierarchy for module <cmp_ctl_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <alu_we_reg_clr> in library <work>.

Analyzing hierarchy for module <alu_func_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <dmem_ctl_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <ext_ctl_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <rd_sel_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <alu_we_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <muxa_ctl_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <pc_gen_ctl_reg_clr_cls> in library <work>.

Analyzing hierarchy for module <dmem_ctl_reg> in library <work>.

WARNING:Xst:2591 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: attribute on instance <CLKIN_PERIOD> overrides generic/parameter on component. It is possible that simulator will not take this attribute into account.

=========================================================================
*                            HDL Analysis                               *
=========================================================================
Analyzing generic Entity <leon3mp> in library <work> (Architecture <rtl>).
        clktech = 11
        dbguart = 0
        disas = 0
        fabtech = 11
        memtech = 11
        padtech = 11
        pclow = 2
WARNING:Xst:37 - Detected unknown constraint/property "syn_netlist_hierarchy". This constraint/property is not supported by the current software release and will be ignored.
    Set property "syn_keep = TRUE" for signal <clk50>.
    Set property "syn_preserve = TRUE" for signal <clk50>.
    Set user-defined property "KEEP =  TRUE" for signal <clk50> (previous value was "KEEP soft").
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 263: Unconnected input port 'rstn' of component 'clkpad' is tied to default value.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 263: Unconnected output port 'lock' of component 'clkpad'.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 265: Unconnected input port 'rstn' of component 'clkpad' is tied to default value.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 265: Unconnected output port 'lock' of component 'clkpad'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'clkn' of component 'clkgen'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'clk2x' of component 'clkgen'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'pciclk' of component 'clkgen'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'clk4x' of component 'clkgen'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 266: Unconnected output port 'clk2xu' of component 'clkgen'.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 275: Unconnected input port 'testrst' of component 'rstgen' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 275: Unconnected input port 'testen' of component 'rstgen' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 282: Unconnected input port 'testen' of component 'ahbctrl' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 282: Unconnected input port 'testrst' of component 'ahbctrl' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 282: Unconnected input port 'scanen' of component 'ahbctrl' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 282: Unconnected input port 'testoen' of component 'ahbctrl' is tied to default value.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_tck' of component 'ahbjtag'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_tdi' of component 'ahbjtag'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_inst' of component 'ahbjtag'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_rst' of component 'ahbjtag'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_capt' of component 'ahbjtag'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_shft' of component 'ahbjtag'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tapo_upd' of component 'ahbjtag'.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected input port 'trst' of component 'ahbjtag' is tied to default value.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd" line 331: Unconnected output port 'tdoen' of component 'ahbjtag'.
Entity <leon3mp> analyzed. Unit <leon3mp> generated.

Analyzing generic Entity <clkpad> in library <techmap> (Architecture <rtl>).
        arch = 0
        hf = 0
        level = 0
        tech = 11
        voltage = 3
Entity <clkpad> analyzed. Unit <clkpad> generated.

Analyzing generic Entity <virtex_clkpad> in library <techmap> (Architecture <rtl>).
        arch = 0
        hf = 0
        level = 0
        voltage = 3
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 450: Instantiating black box module <IBUFG>.
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance <g0.ttl0.ip> in unit <virtex_clkpad>.
    Set user-defined property "IOSTANDARD =  LVTTL" for instance <g0.ttl0.ip> in unit <virtex_clkpad>.
Entity <virtex_clkpad> analyzed. Unit <virtex_clkpad> generated.

Analyzing generic Entity <inpad> in library <techmap> (Architecture <rtl>).
        filter = 0
        level = 0
        strength = 0
        tech = 11
        voltage = 3
Entity <inpad> analyzed. Unit <inpad> generated.

Analyzing generic Entity <virtex_inpad> in library <techmap> (Architecture <rtl>).
        level = 0
        voltage = 3
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 52: Instantiating black box module <IBUF>.
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance <ttl0.ip> in unit <virtex_inpad>.
    Set user-defined property "IOSTANDARD =  LVTTL" for instance <ttl0.ip> in unit <virtex_inpad>.
Entity <virtex_inpad> analyzed. Unit <virtex_inpad> generated.

Analyzing generic Entity <clkgen> in library <techmap> (Architecture <struct>).
        clk2xen = 0
        clk_div = 5
        clk_mul = 4
        clk_odiv = 0
        clksel = 0
        freq = 50000
        noclkfb = 0
        pcidll = 0
        pcien = 0
        pcisysclk = 0
        sdramen = 1
        tech = 11
Entity <clkgen> analyzed. Unit <clkgen> generated.

Analyzing generic Entity <clkgen_spartan3> in library <techmap> (Architecture <struct>).
        clk2xen = 0
        clk_div = 5
        clk_mul = 4
        clksel = 0
        freq = 50000
        noclkfb = 0
        pcidll = 0
        pcien = 0
        pcisysclk = 0
        sdramen = 1
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 574: Instantiating black box module <BUFG>.
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 575: Instantiating black box module <BUFG>.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK90' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK180' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK270' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLK2X180' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'CLKDV' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'PSDONE' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Unconnected output port 'STATUS' of component 'DCM'.
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 586: Instantiating black box module <DCM>.
    Set user-defined property "CLKDV_DIVIDE =  2.0000000000000000" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "CLKFX_DIVIDE =  5" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "CLKFX_MULTIPLY =  4" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "CLKIN_DIVIDE_BY_2 =  FALSE" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "CLKIN_PERIOD =  20.0000000000000000" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "CLKOUT_PHASE_SHIFT =  NONE" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "CLK_FEEDBACK =  2X" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "DESKEW_ADJUST =  SYSTEM_SYNCHRONOUS" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "DFS_FREQUENCY_MODE =  LOW" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "DLL_FREQUENCY_MODE =  LOW" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "DSS_MODE =  NONE" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "DUTY_CYCLE_CORRECTION =  TRUE" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "FACTORY_JF =  C080" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "PHASE_SHIFT =  0" for instance <dll0> in unit <clkgen_spartan3>.
    Set user-defined property "STARTUP_WAIT =  FALSE" for instance <dll0> in unit <clkgen_spartan3>.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK90' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK180' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK270' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK2X' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLK2X180' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKDV' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKFX' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'CLKFX180' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'PSDONE' of component 'DCM'.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Unconnected output port 'STATUS' of component 'DCM'.
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd" line 620: Instantiating black box module <DCM>.
    Set user-defined property "CLKDV_DIVIDE =  2.0000000000000000" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "CLKFX_DIVIDE =  2" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "CLKFX_MULTIPLY =  2" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "CLKIN_DIVIDE_BY_2 =  FALSE" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "CLKIN_PERIOD =  10.0000000000000000" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "CLKOUT_PHASE_SHIFT =  NONE" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "CLK_FEEDBACK =  1X" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "DESKEW_ADJUST =  SYSTEM_SYNCHRONOUS" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "DFS_FREQUENCY_MODE =  LOW" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "DLL_FREQUENCY_MODE =  LOW" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "DSS_MODE =  NONE" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "DUTY_CYCLE_CORRECTION =  TRUE" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "FACTORY_JF =  C080" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "PHASE_SHIFT =  0" for instance <sd0.dll1> in unit <clkgen_spartan3>.
    Set user-defined property "STARTUP_WAIT =  FALSE" for instance <sd0.dll1> in unit <clkgen_spartan3>.
Entity <clkgen_spartan3> analyzed. Unit <clkgen_spartan3> generated.

Analyzing generic Entity <outpad.1> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 1
        strength = 24
        tech = 11
        voltage = 3
Entity <outpad.1> analyzed. Unit <outpad.1> generated.

Analyzing generic Entity <virtex_outpad.1> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 1
        strength = 24
        voltage = 3
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 182: Instantiating black box module <OBUF>.
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance <ttl0.fast0.op> in unit <virtex_outpad.1>.
    Set user-defined property "DRIVE =  24" for instance <ttl0.fast0.op> in unit <virtex_outpad.1>.
    Set user-defined property "IOSTANDARD =  LVTTL" for instance <ttl0.fast0.op> in unit <virtex_outpad.1>.
    Set user-defined property "SLEW =  FAST" for instance <ttl0.fast0.op> in unit <virtex_outpad.1>.
Entity <virtex_outpad.1> analyzed. Unit <virtex_outpad.1> generated.

Analyzing generic Entity <rstgen> in library <gaisler> (Architecture <rtl>).
        acthigh = 0
        scanen = 0
        syncrst = 0
Entity <rstgen> analyzed. Unit <rstgen> generated.

Analyzing generic Entity <ahbctrl> in library <grlib> (Architecture <rtl>).
        arbdisable = 0
        asserterr = 0
        assertwarn = 0
        cfgaddr = 4080
        cfgmask = 4080
        debug = 2
        defmast = 0
        devid = 0
        disirq = 0
        enbusmon = 0
        enebterm = 0
        fixbrst = 0
        fpnpen = 0
        hmstdisable = 0
        hslvdisable = 0
        icheck = 1
        ioaddr = 4095
        ioen = 0
        iomask = 4095
        mprio = 0
        nahbm = 3
        nahbs = 8
        rrobin = 1
        split = 0
        timeout = 0
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 160: Index value(s) does not match array range, simulation mismatch.
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 486: Index value(s) does not match array range, simulation mismatch.
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 495: Index value(s) does not match array range, simulation mismatch.
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 534: Index value(s) does not match array range, simulation mismatch.
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 557: Index value(s) does not match array range, simulation mismatch.
WARNING:Xst:790 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 571: Index value(s) does not match array range, simulation mismatch.
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd" line 338: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <msto(15).hbusreq>, <msto(15).hlock>, <msto(15).htrans>, <msto(15).haddr>, <msto(15).hwrite>, <msto(15).hsize>, <msto(15).hburst>, <msto(15).hprot>, <msto(15).hwdata>, <msto(15).hirq>, <msto(15).hconfig>, <msto(15).hindex>, <msto(14).hbusreq>, <msto(14).hlock>, <msto(14).htrans>, <msto(14).haddr>, <msto(14).hwrite>, <msto(14).hsize>, <msto(14).hburst>, <msto(14).hprot>, <msto(14).hwdata>, <msto(14).hirq>, <msto(14).hconfig>, <msto(14).hindex>, <msto(13).hbusreq>, <msto(13).hlock>, <msto(13).htrans>, <msto(13).haddr>, <msto(13).hwrite>, <msto(13).hsize>, <msto(13).hburst>, <msto(13).hprot>, <msto(13).hwdata>, <msto(13).hirq>, <msto(13).hconfig>, <msto(13).hindex>, <msto(12).hbusreq>, <msto(12).hlock>, <msto(12).htrans>, <msto(12).haddr>, <msto(12).hwrite>, <msto(12).hsize>, <msto(12).hburst>, <msto(12).hprot>, <msto(12).hwdata>, <msto(12).hirq>, <msto(12).hconfig>, <msto(12).hindex>, <msto(11).hbusreq>, <msto(11).hlock>, <msto(11).htrans>, <msto(11).haddr>, <msto(11).hwrite>, <msto(11).hsize>,
   <msto(11).hburst>, <msto(11).hprot>, <msto(11).hwdata>, <msto(11).hirq>, <msto(11).hconfig>, <msto(11).hindex>, <msto(10).hbusreq>, <msto(10).hlock>, <msto(10).htrans>, <msto(10).haddr>, <msto(10).hwrite>, <msto(10).hsize>, <msto(10).hburst>, <msto(10).hprot>, <msto(10).hwdata>, <msto(10).hirq>, <msto(10).hconfig>, <msto(10).hindex>, <msto(9).hbusreq>, <msto(9).hlock>, <msto(9).htrans>, <msto(9).haddr>, <msto(9).hwrite>, <msto(9).hsize>, <msto(9).hburst>, <msto(9).hprot>, <msto(9).hwdata>, <msto(9).hirq>, <msto(9).hconfig>, <msto(9).hindex>, <msto(8).hbusreq>, <msto(8).hlock>, <msto(8).htrans>, <msto(8).haddr>, <msto(8).hwrite>, <msto(8).hsize>, <msto(8).hburst>, <msto(8).hprot>, <msto(8).hwdata>, <msto(8).hirq>, <msto(8).hconfig>, <msto(8).hindex>, <msto(7).hbusreq>, <msto(7).hlock>, <msto(7).htrans>, <msto(7).haddr>, <msto(7).hwrite>, <msto(7).hsize>, <msto(7).hburst>, <msto(7).hprot>, <msto(7).hwdata>, <msto(7).hirq>, <msto(7).hconfig>, <msto(7).hindex>, <msto(6).hbusreq>, <msto(6).hlock>,
   <msto(6).htrans>, <msto(6).haddr>, <msto(6).hwrite>, <msto(6).hsize>, <msto(6).hburst>, <msto(6).hprot>, <msto(6).hwdata>, <msto(6).hirq>, <msto(6).hconfig>, <msto(6).hindex>, <msto(5).hbusreq>, <msto(5).hlock>, <msto(5).htrans>, <msto(5).haddr>, <msto(5).hwrite>, <msto(5).hsize>, <msto(5).hburst>, <msto(5).hprot>, <msto(5).hwdata>, <msto(5).hirq>, <msto(5).hconfig>, <msto(5).hindex>, <msto(4).hbusreq>, <msto(4).hlock>, <msto(4).htrans>, <msto(4).haddr>, <msto(4).hwrite>, <msto(4).hsize>, <msto(4).hburst>, <msto(4).hprot>, <msto(4).hwdata>, <msto(4).hirq>, <msto(4).hconfig>, <msto(4).hindex>, <msto(3).hbusreq>, <msto(3).hlock>, <msto(3).htrans>, <msto(3).haddr>, <msto(3).hwrite>, <msto(3).hsize>, <msto(3).hburst>, <msto(3).hprot>, <msto(3).hwdata>, <msto(3).hirq>, <msto(3).hconfig>, <msto(3).hindex>, <msto(2).hbusreq>, <msto(2).hlock>, <msto(2).htrans>, <msto(2).haddr>, <msto(2).hwrite>, <msto(2).hsize>, <msto(2).hburst>, <msto(2).hprot>, <msto(2).hwdata>, <msto(2).hirq>, <msto(2).hconfig>,
   <msto(2).hindex>, <msto(1).hbusreq>, <msto(1).hlock>, <msto(1).htrans>, <msto(1).haddr>, <msto(1).hwrite>, <msto(1).hsize>, <msto(1).hburst>, <msto(1).hprot>, <msto(1).hwdata>, <msto(1).hirq>, <msto(1).hconfig>, <msto(1).hindex>, <msto(0).hbusreq>, <msto(0).hlock>, <msto(0).htrans>, <msto(0).haddr>, <msto(0).hwrite>, <msto(0).hsize>, <msto(0).hburst>, <msto(0).hprot>, <msto(0).hwdata>, <msto(0).hirq>, <msto(0).hconfig>, <msto(0).hindex>, <slvo(0).hconfig>, <slvo(1).hconfig>, <slvo(2).hconfig>, <slvo(3).hconfig>, <slvo(4).hconfig>, <slvo(5).hconfig>, <slvo(6).hconfig>, <slvo(7).hconfig>, <slvo(0).hirq>, <slvo(1).hirq>, <slvo(2).hirq>, <slvo(3).hirq>, <slvo(4).hirq>, <slvo(5).hirq>, <slvo(6).hirq>, <slvo(7).hirq>
INFO:Xst:2679 - Register <rin.ldefmst> in unit <ahbctrl> has a constant value of 0 during circuit operation. The register is replaced by logic.
Entity <ahbctrl> analyzed. Unit <ahbctrl> generated.

Analyzing generic Entity <leon3s> in library <gaisler> (Architecture <rtl>).
        cached = 0
        cp = 0
        dcen = 1
        disas = 0
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        dlramstart = 143
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        dsu = 0
        dtlbnum = 2
        fabtech = 11
        fpu = 0
        hindex = 0
        icen = 1
        ilinesize = 8
        ilram = 0
        ilramsize = 1
        ilramstart = 142
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        itlbnum = 2
        lddel = 1
        mac = 0
        memtech = 11
        mmuen = 0
        notag = 0
        nwindows = 8
        nwp = 2
        pclow = 2
        pwd = 0
        rstaddr = 0
        scantest = 0
        smp = 0
        svt = 1
        tbuf = 0
        tlb_rep = 1
        tlb_type = 1
        v8 = 50
WARNING:Xst:37 - Detected unknown constraint/property "sync_set_reset". This constraint/property is not supported by the current software release and will be ignored.
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3s.vhd" line 142: Unconnected output port 'iack_o' of component 'proc3'.
Entity <leon3s> analyzed. Unit <leon3s> generated.

Analyzing generic Entity <proc3> in library <gaisler> (Architecture <rtl>).
        cached = 0
        clk2x = 0
        cp = 0
        dcen = 1
        disas = 0
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        dlramstart = 143
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        dsu = 0
        dtlbnum = 2
        fabtech = 11
        fpu = 0
        hindex = 0
        icen = 1
        ilinesize = 8
        ilram = 0
        ilramsize = 1
        ilramstart = 142
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        itlbnum = 2
        lddel = 1
        mac = 0
        memtech = 11
        mmuen = 0
        notag = 0
        nwindows = 8
        nwp = 2
        pclow = 2
        pwd = 0
        rstaddr = 0
        scantest = 0
        smp = 0
        svt = 1
        tbuf = 0
        tlb_rep = 1
        tlb_type = 1
        v8 = 50
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/proc3.vhd" line 150: Unconnected output port 'asi' of component 'top'.
Entity <proc3> analyzed. Unit <proc3> generated.

Analyzing Entity <top> in library <gaisler> (Architecture <behavioral>).
Entity <top> analyzed. Unit <top> generated.

Analyzing Entity <reg_zero> in library <gaisler> (Architecture <behavioural>).
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd" line 21: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <we_o>
Entity <reg_zero> analyzed. Unit <reg_zero> generated.

Analyzing Entity <my_mux> in library <gaisler> (Architecture <rtl>).
Entity <my_mux> analyzed. Unit <my_mux> generated.

Analyzing module <mips_core> in library <work>.
Module <mips_core> is correct for synthesis.
 
Analyzing module <rf_stage> in library <work>.
Module <rf_stage> is correct for synthesis.
 
Analyzing module <cal_cpi> in library <work>.
Module <cal_cpi> is correct for synthesis.
 
Analyzing module <ctl_FSM> in library <work>.
        ID_CUR = 32'sb00000000000000000000000000000001
        ID_LD = 32'sb00000000000000000000000000000101
        ID_MUL = 32'sb00000000000000000000000000000010
        ID_NOI = 32'sb00000000000000000000000000000110
        ID_RET = 32'sb00000000000000000000000000000100
        PC_IGN = 32'sb00000000000000000000000000000001
        PC_IRQ = 32'sb00000000000000000000000000000100
        PC_KEP = 32'sb00000000000000000000000000000010
        PC_RST = 32'sb00000000000000000000000000001000
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 47: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 48: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 59: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 72: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 83: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 92: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 93: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 94: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 95: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 96: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 97: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 105: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 112: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 120: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 128: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 135: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 143: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 151: Size mismatch between case item and case selector.
WARNING:Xst:2725 - "../../lib/gaisler/vlog/ctl_fsm1.v" line 159: Size mismatch between case item and case selector.
Module <ctl_FSM> is correct for synthesis.
 
Analyzing module <pc_gen> in library <work>.
Module <pc_gen> is correct for synthesis.
 
Analyzing module <compare> in library <work>.
Module <compare> is correct for synthesis.
 
Analyzing module <ext> in library <work>.
Module <ext> is correct for synthesis.
 
Analyzing module <r32_reg_clr_cls> in library <work>.
Module <r32_reg_clr_cls> is correct for synthesis.
 
Analyzing module <jack> in library <work>.
Module <jack> is correct for synthesis.
 
Analyzing module <rd_sel> in library <work>.
Module <rd_sel> is correct for synthesis.
 
Analyzing module <fwd_mux> in library <work>.
Module <fwd_mux> is correct for synthesis.
 
Analyzing module <exec_stage> in library <work>.
Module <exec_stage> is correct for synthesis.
 
Analyzing module <mips_alu> in library <work>.
Module <mips_alu> is correct for synthesis.
 
Analyzing module <muldiv_ff> in library <work>.
        OP_DIV = 32'sb00000000000000000000000000001011
        OP_DIVU = 32'sb00000000000000000000000000001010
        OP_MFHI = 32'sb00000000000000000000000000000110
        OP_MFLO = 32'sb00000000000000000000000000000111
        OP_MTHI = 32'sb00000000000000000000000000011111
        OP_MTLO = 32'sb00000000000000000000000000011110
        OP_MULT = 32'sb00000000000000000000000000001001
        OP_MULTU = 32'sb00000000000000000000000000001000
        OP_NONE = 32'sb00000000000000000000000000000000
"../../lib/gaisler/vlog/EXEC_stage.v" line 734: Found Parallel Case directive in module <muldiv_ff>.
Module <muldiv_ff> is correct for synthesis.
 
Analyzing module <shifter_tak> in library <work>.
Module <shifter_tak> is correct for synthesis.
 
Analyzing module <alu> in library <work>.
Module <alu> is correct for synthesis.
 
Analyzing module <add32> in library <work>.
Module <add32> is correct for synthesis.
 
Analyzing module <alu_muxa> in library <work>.
Module <alu_muxa> is correct for synthesis.
 
Analyzing module <alu_muxb> in library <work>.
Module <alu_muxb> is correct for synthesis.
 
Analyzing module <r32_reg_cls> in library <work>.
Module <r32_reg_cls> is correct for synthesis.
 
Analyzing module <r32_reg> in library <work>.
Module <r32_reg> is correct for synthesis.
 
Analyzing module <r32_inst_reg> in library <work>.
Module <r32_inst_reg> is correct for synthesis.
 
Analyzing module <r32_data_reg> in library <work>.
Module <r32_data_reg> is correct for synthesis.
 
Analyzing module <decode_pipe> in library <work>.
Module <decode_pipe> is correct for synthesis.
 
Analyzing module <decoder> in library <work>.
"../../lib/gaisler/vlog/decode_pipe1.v" line 97: Found Parallel Case directive in module <decoder>.
"../../lib/gaisler/vlog/decode_pipe1.v" line 740: Found Parallel Case directive in module <decoder>.
"../../lib/gaisler/vlog/decode_pipe1.v" line 94: Found Parallel Case directive in module <decoder>.
Module <decoder> is correct for synthesis.
 
Analyzing module <pipelinedregs> in library <work>.
Module <pipelinedregs> is correct for synthesis.
 
Analyzing module <muxb_ctl_reg_clr_cls> in library <work>.
Module <muxb_ctl_reg_clr_cls> is correct for synthesis.
 
Analyzing module <wb_mux_ctl_reg_clr_cls> in library <work>.
Module <wb_mux_ctl_reg_clr_cls> is correct for synthesis.
 
Analyzing module <wb_we_reg_clr_cls> in library <work>.
Module <wb_we_reg_clr_cls> is correct for synthesis.
 
Analyzing module <wb_we_reg> in library <work>.
Module <wb_we_reg> is correct for synthesis.
 
Analyzing module <wb_mux_ctl_reg_clr> in library <work>.
Module <wb_mux_ctl_reg_clr> is correct for synthesis.
 
Analyzing module <muxb_ctl_reg_clr> in library <work>.
Module <muxb_ctl_reg_clr> is correct for synthesis.
 
Analyzing module <dmem_ctl_reg_clr> in library <work>.
Module <dmem_ctl_reg_clr> is correct for synthesis.
 
Analyzing module <alu_func_reg_clr> in library <work>.
Module <alu_func_reg_clr> is correct for synthesis.
 
Analyzing module <muxa_ctl_reg_clr> in library <work>.
Module <muxa_ctl_reg_clr> is correct for synthesis.
 
Analyzing module <wb_mux_ctl_reg> in library <work>.
Module <wb_mux_ctl_reg> is correct for synthesis.
 
Analyzing module <wb_we_reg_clr> in library <work>.
Module <wb_we_reg_clr> is correct for synthesis.
 
Analyzing module <cmp_ctl_reg_clr_cls> in library <work>.
Module <cmp_ctl_reg_clr_cls> is correct for synthesis.
 
Analyzing module <alu_we_reg_clr> in library <work>.
Module <alu_we_reg_clr> is correct for synthesis.
 
Analyzing module <alu_func_reg_clr_cls> in library <work>.
Module <alu_func_reg_clr_cls> is correct for synthesis.
 
Analyzing module <dmem_ctl_reg_clr_cls> in library <work>.
Module <dmem_ctl_reg_clr_cls> is correct for synthesis.
 
Analyzing module <ext_ctl_reg_clr_cls> in library <work>.
Module <ext_ctl_reg_clr_cls> is correct for synthesis.
 
Analyzing module <rd_sel_reg_clr_cls> in library <work>.
Module <rd_sel_reg_clr_cls> is correct for synthesis.
 
Analyzing module <alu_we_reg_clr_cls> in library <work>.
Module <alu_we_reg_clr_cls> is correct for synthesis.
 
Analyzing module <muxa_ctl_reg_clr_cls> in library <work>.
Module <muxa_ctl_reg_clr_cls> is correct for synthesis.
 
Analyzing module <pc_gen_ctl_reg_clr_cls> in library <work>.
Module <pc_gen_ctl_reg_clr_cls> is correct for synthesis.
 
Analyzing module <dmem_ctl_reg> in library <work>.
Module <dmem_ctl_reg> is correct for synthesis.
 
Analyzing module <forward> in library <work>.
Module <forward> is correct for synthesis.
 
Analyzing module <forward_node> in library <work>.
Module <forward_node> is correct for synthesis.
 
Analyzing module <fw_latch5> in library <work>.
Module <fw_latch5> is correct for synthesis.
 
Analyzing module <r5_reg> in library <work>.
Module <r5_reg> is correct for synthesis.
 
Analyzing module <wb_mux> in library <work>.
Module <wb_mux> is correct for synthesis.
 
Analyzing module <hazard_unit> in library <work>.
Module <hazard_unit> is correct for synthesis.
 
Analyzing module <r1_reg> in library <work>.
Module <r1_reg> is correct for synthesis.
 
Analyzing module <r4_asi_reg> in library <work>.
Module <r4_asi_reg> is correct for synthesis.
 
Analyzing module <r4_rdaddr_reg> in library <work>.
Module <r4_rdaddr_reg> is correct for synthesis.
 
Analyzing generic Entity <mul32> in library <gaisler> (Architecture <rtl>).
        infer = 1
        mac = 0
        multype = 3
        pipe = 1
INFO:Xst:2679 - Register <rm.ready> in unit <mul32> has a constant value of 0 during circuit operation. The register is replaced by logic.
Entity <mul32> analyzed. Unit <mul32> generated.

Analyzing Entity <div32> in library <gaisler> (Architecture <rtl>).
Entity <div32> analyzed. Unit <div32> generated.

Analyzing generic Entity <cache> in library <gaisler> (Architecture <rtl>).
        cached = 0
        clk2x = 0
        dcen = 1
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        dlramstart = 143
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        dsu = 0
        hindex = 0
        icen = 1
        ilinesize = 8
        ilram = 0
        ilramsize = 1
        ilramstart = 142
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        memtech = 11
        scantest = 0
WARNING:Xst:753 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cache.vhd" line 112: Unconnected output port 'mcmmo' of component 'mmu_acache'.
Entity <cache> analyzed. Unit <cache> generated.

Analyzing generic Entity <icache> in library <gaisler> (Architecture <rtl>).
        icen = 1
        ilinesize = 8
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        lram = 0
        lramsize = 1
        lramstart = 142
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/icache.vhd" line 186: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <dco.icdiag.cctrl.ics>, <dco.icdiag.cctrl.burst>, <dco.icdiag.enable>, <dco.icdiag.addr>, <dco.icdiag.tag>, <dco.icdiag.read>, <dco.icdiag.flush>, <dco.icdiag.scanen>, <dco.icdiag.ilramen>
Entity <icache> analyzed. Unit <icache> generated.

Analyzing generic Entity <dcache> in library <gaisler> (Architecture <rtl>).
        cached = 0
        dcen = 1
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        dlramstart = 143
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        dsu = 0
        ilram = 0
        ilramstart = 142
        memtech = 11
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dcache.vhd" line 232: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <r.wb.addr>, <r.wb.data1>, <r.wb.data2>, <r.wb.size>, <r.wb.asi>, <r.wb.read>, <r.wb.lock>, <r.cctrl.burst>, <r.cctrl.dfrz>, <r.cctrl.ifrz>, <r.cctrl.dsnoop>, <r.cctrl.dcs>, <r.cctrl.ics>
INFO:Xst:2679 - Register <r.cctrlwr> in unit <dcache> has a constant value of 0 during circuit operation. The register is replaced by logic.
Entity <dcache> analyzed. Unit <dcache> generated.

Analyzing generic Entity <mmu_acache> in library <gaisler> (Architecture <rtl>).
        cached = 0
        clk2x = 0
        hindex = 0
        ilinesize = 8
        scantest = 0
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_acache.vhd" line 100: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <ahbso(15).hready>, <ahbso(15).hresp>, <ahbso(15).hrdata>, <ahbso(15).hsplit>, <ahbso(15).hcache>, <ahbso(15).hirq>, <ahbso(15).hconfig>, <ahbso(15).hindex>, <ahbso(14).hready>, <ahbso(14).hresp>, <ahbso(14).hrdata>, <ahbso(14).hsplit>, <ahbso(14).hcache>, <ahbso(14).hirq>, <ahbso(14).hconfig>, <ahbso(14).hindex>, <ahbso(13).hready>, <ahbso(13).hresp>, <ahbso(13).hrdata>, <ahbso(13).hsplit>, <ahbso(13).hcache>, <ahbso(13).hirq>, <ahbso(13).hconfig>, <ahbso(13).hindex>, <ahbso(12).hready>, <ahbso(12).hresp>, <ahbso(12).hrdata>, <ahbso(12).hsplit>, <ahbso(12).hcache>, <ahbso(12).hirq>, <ahbso(12).hconfig>, <ahbso(12).hindex>, <ahbso(11).hready>, <ahbso(11).hresp>, <ahbso(11).hrdata>, <ahbso(11).hsplit>, <ahbso(11).hcache>, <ahbso(11).hirq>, <ahbso(11).hconfig>, <ahbso(11).hindex>, <ahbso(10).hready>, <ahbso(10).hresp>, <ahbso(10).hrdata>, <ahbso(10).hsplit>, <ahbso(10).hcache>, <ahbso(10).hirq>, <ahbso(10).hconfig>, <ahbso(10).hindex>, <ahbso(9).hready>, <ahbso(9).hresp>, <ahbso(9).hrdata>,
   <ahbso(9).hsplit>, <ahbso(9).hcache>, <ahbso(9).hirq>, <ahbso(9).hconfig>, <ahbso(9).hindex>, <ahbso(8).hready>, <ahbso(8).hresp>, <ahbso(8).hrdata>, <ahbso(8).hsplit>, <ahbso(8).hcache>, <ahbso(8).hirq>, <ahbso(8).hconfig>, <ahbso(8).hindex>, <ahbso(7).hready>, <ahbso(7).hresp>, <ahbso(7).hrdata>, <ahbso(7).hsplit>, <ahbso(7).hcache>, <ahbso(7).hirq>, <ahbso(7).hconfig>, <ahbso(7).hindex>, <ahbso(6).hready>, <ahbso(6).hresp>, <ahbso(6).hrdata>, <ahbso(6).hsplit>, <ahbso(6).hcache>, <ahbso(6).hirq>, <ahbso(6).hconfig>, <ahbso(6).hindex>, <ahbso(5).hready>, <ahbso(5).hresp>, <ahbso(5).hrdata>, <ahbso(5).hsplit>, <ahbso(5).hcache>, <ahbso(5).hirq>, <ahbso(5).hconfig>, <ahbso(5).hindex>, <ahbso(4).hready>, <ahbso(4).hresp>, <ahbso(4).hrdata>, <ahbso(4).hsplit>, <ahbso(4).hcache>, <ahbso(4).hirq>, <ahbso(4).hconfig>, <ahbso(4).hindex>, <ahbso(3).hready>, <ahbso(3).hresp>, <ahbso(3).hrdata>, <ahbso(3).hsplit>, <ahbso(3).hcache>, <ahbso(3).hirq>, <ahbso(3).hconfig>, <ahbso(3).hindex>, <ahbso(2).hready>,
   <ahbso(2).hresp>, <ahbso(2).hrdata>, <ahbso(2).hsplit>, <ahbso(2).hcache>, <ahbso(2).hirq>, <ahbso(2).hconfig>, <ahbso(2).hindex>, <ahbso(1).hready>, <ahbso(1).hresp>, <ahbso(1).hrdata>, <ahbso(1).hsplit>, <ahbso(1).hcache>, <ahbso(1).hirq>, <ahbso(1).hconfig>, <ahbso(1).hindex>, <ahbso(0).hready>, <ahbso(0).hresp>, <ahbso(0).hrdata>, <ahbso(0).hsplit>, <ahbso(0).hcache>, <ahbso(0).hirq>, <ahbso(0).hconfig>, <ahbso(0).hindex>
Entity <mmu_acache> analyzed. Unit <mmu_acache> generated.

Analyzing generic Entity <regfile_3p> in library <techmap> (Architecture <rtl>).
        abits = 5
        dbits = 32
        numregs = 32
        tech = 11
        wrfst = 1
Entity <regfile_3p> analyzed. Unit <regfile_3p> generated.

Analyzing generic Entity <generic_regfile_3p> in library <techmap> (Architecture <rtl>).
        abits = 5
        dbits = 32
        numregs = 32
        tech = 11
        wrfst = 1
Entity <generic_regfile_3p> analyzed. Unit <generic_regfile_3p> generated.

Analyzing generic Entity <cachemem> in library <gaisler> (Architecture <rtl>).
        dcen = 1
        dlinesize = 4
        dlram = 0
        dlramsize = 1
        drepl = 0
        dsetlock = 0
        dsets = 4
        dsetsize = 4
        dsnoop = 1
        icen = 1
        ilinesize = 8
        ilram = 0
        ilramsize = 1
        irepl = 0
        isetlock = 0
        isets = 1
        isetsize = 4
        mmuen = 0
        tech = 11
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 163: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <crami.icramin.data>, <crami.dcramin.tag>, <crami.dcramin.flush>, <crami.dcramin.data>, <crami.dcramin.ptag>, <crami.icramin.tag>
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 245: Unconnected input port 'testin' of component 'syncram' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 247: Unconnected input port 'testin' of component 'syncram' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 283: Unconnected input port 'testin' of component 'syncram_dp' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
WARNING:Xst:752 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd" line 316: Unconnected input port 'testin' of component 'syncram' is tied to default value.
Entity <cachemem> analyzed. Unit <cachemem> generated.

Analyzing generic Entity <syncram.1> in library <techmap> (Architecture <rtl>).
        abits = 7
        dbits = 28
        tech = 11
Entity <syncram.1> analyzed. Unit <syncram.1> generated.

Analyzing generic Entity <virtex2_syncram.1> in library <techmap> (Architecture <behav>).
        abits = 7
        dbits = 28
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" line 544: Instantiating black box module <RAMB16_S36_S36>.
Entity <virtex2_syncram.1> analyzed. Unit <virtex2_syncram.1> generated.

Analyzing generic Entity <syncram.2> in library <techmap> (Architecture <rtl>).
        abits = 10
        dbits = 32
        tech = 11
Entity <syncram.2> analyzed. Unit <syncram.2> generated.

Analyzing generic Entity <virtex2_syncram.2> in library <techmap> (Architecture <behav>).
        abits = 10
        dbits = 32
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" line 565: Instantiating black box module <RAMB16_S18>.
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" line 565: Instantiating black box module <RAMB16_S18>.
Entity <virtex2_syncram.2> analyzed. Unit <virtex2_syncram.2> generated.

Analyzing generic Entity <syncram_dp> in library <techmap> (Architecture <rtl>).
        abits = 8
        dbits = 24
        tech = 11
Entity <syncram_dp> analyzed. Unit <syncram_dp> generated.

Analyzing generic Entity <virtex2_syncram_dp> in library <techmap> (Architecture <behav>).
        abits = 8
        dbits = 24
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd" line 793: Instantiating black box module <RAMB16_S36_S36>.
Entity <virtex2_syncram_dp> analyzed. Unit <virtex2_syncram_dp> generated.

Analyzing generic Entity <odpad> in library <techmap> (Architecture <rtl>).
        level = 0
        oepol = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
Entity <odpad> analyzed. Unit <odpad> generated.

Analyzing generic Entity <virtex_toutpad> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        voltage = 3
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 251: Instantiating black box module <OBUFT>.
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance <ttl0.slow0.op> in unit <virtex_toutpad>.
    Set user-defined property "DRIVE =  12" for instance <ttl0.slow0.op> in unit <virtex_toutpad>.
    Set user-defined property "IOSTANDARD =  LVTTL" for instance <ttl0.slow0.op> in unit <virtex_toutpad>.
    Set user-defined property "SLEW =  SLOW" for instance <ttl0.slow0.op> in unit <virtex_toutpad>.
Entity <virtex_toutpad> analyzed. Unit <virtex_toutpad> generated.

Analyzing generic Entity <ahbuart> in library <gaisler> (Architecture <struct>).
        hindex = 1
        paddr = 7
        pindex = 7
        pmask = 4095
Entity <ahbuart> analyzed. Unit <ahbuart> generated.

Analyzing generic Entity <ahbmst.1> in library <gaisler> (Architecture <rtl>).
        chprot = 3
        devid = 7
        hindex = 1
        hirq = 0
        incaddr = 0
        venid = 1
        version = 0
Entity <ahbmst.1> analyzed. Unit <ahbmst.1> generated.

Analyzing generic Entity <dcom_uart> in library <gaisler> (Architecture <rtl>).
        paddr = 7
        pindex = 7
        pmask = 4095
Entity <dcom_uart> analyzed. Unit <dcom_uart> generated.

Analyzing Entity <dcom> in library <gaisler> (Architecture <struct>).
Entity <dcom> analyzed. Unit <dcom> generated.

Analyzing generic Entity <outpad.2> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
Entity <outpad.2> analyzed. Unit <outpad.2> generated.

Analyzing generic Entity <virtex_outpad.2> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        voltage = 3
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 178: Instantiating black box module <OBUF>.
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance <ttl0.slow0.op> in unit <virtex_outpad.2>.
    Set user-defined property "DRIVE =  12" for instance <ttl0.slow0.op> in unit <virtex_outpad.2>.
    Set user-defined property "IOSTANDARD =  LVTTL" for instance <ttl0.slow0.op> in unit <virtex_outpad.2>.
    Set user-defined property "SLEW =  SLOW" for instance <ttl0.slow0.op> in unit <virtex_outpad.2>.
Entity <virtex_outpad.2> analyzed. Unit <virtex_outpad.2> generated.

Analyzing generic Entity <ahbjtag> in library <gaisler> (Architecture <struct>).
        ainst = 2
        dinst = 3
        hindex = 2
        idcode = 9
        manf = 804
        nsync = 1
        part = 0
        scantest = 0
        tech = 11
        ver = 0
Entity <ahbjtag> analyzed. Unit <ahbjtag> generated.

Analyzing generic Entity <ahbmst.2> in library <gaisler> (Architecture <rtl>).
        chprot = 3
        devid = 28
        hindex = 2
        hirq = 0
        incaddr = 0
        venid = 1
        version = 0
Entity <ahbmst.2> analyzed. Unit <ahbmst.2> generated.

Analyzing generic Entity <tap> in library <techmap> (Architecture <rtl>).
        idcode = 9
        irlen = 6
        manf = 804
        part = 0
        scantest = 0
        tech = 11
        trsten = 1
        ver = 0
Entity <tap> analyzed. Unit <tap> generated.

Analyzing Entity <spartan3_tap> in library <techmap> (Architecture <rtl>).
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd" line 186: Instantiating black box module <BSCAN_SPARTAN3>.
    Set user-defined property "dont_touch =  TRUE" for instance <u0> in unit <spartan3_tap>.
Entity <spartan3_tap> analyzed. Unit <spartan3_tap> generated.

Analyzing generic Entity <jtagcom> in library <gaisler> (Architecture <rtl>).
        ainst = 2
        dinst = 3
        isel = 1
        nsync = 1
Entity <jtagcom> analyzed. Unit <jtagcom> generated.

Analyzing generic Entity <mctrl> in library <esa> (Architecture <rtl>).
        fast = 0
        hindex = 0
        invclk = 0
        ioaddr = 512
        iomask = 3584
        mobile = 0
        oepol = 0
        paddr = 0
        pageburst = 0
        pindex = 0
        pmask = 4095
        ram16 = 1
        ram8 = 1
        ramaddr = 1024
        rammask = 3072
        romaddr = 0
        romasel = 28
        rommask = 3584
        scantest = 0
        sdbits = 32
        sden = 1
        sdlsb = 2
        sdrasel = 29
        sepbus = 0
        srbanks = 2
        syncrst = 0
        wprot = 0
    Set property "syn_preserve = TRUE" for signal <rbdrive>.
    Set property "syn_preserve = TRUE" for signal <rrsbdrive>.
    Set property "syn_preserve = TRUE" for signal <rsbdrive>.
INFO:Xst:1561 - "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd" line 724: Mux is complete : default of case is discarded
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd" line 207: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <r.mcfg1.romrws>, <r.mcfg1.romwws>, <r.mcfg1.romwidth>, <r.mcfg1.romwrite>, <r.mcfg1.ioen>, <r.mcfg1.iows>, <r.mcfg1.bexcen>, <r.mcfg1.brdyen>, <r.mcfg1.iowidth>, <r.mcfg2.ramrws>, <r.mcfg2.ramwws>, <r.mcfg2.ramwidth>, <r.mcfg2.rambanksz>, <r.mcfg2.rmw>, <r.mcfg2.brdyen>, <r.mcfg2.srdis>, <r.mcfg2.sdren>
Entity <mctrl> analyzed. Unit <mctrl> generated.

Analyzing generic Entity <sdmctrl> in library <gaisler> (Architecture <rtl>).
        fast = 0
        invclk = 0
        mobile = 0
        pageburst = 0
        pindex = 0
        sdbits = 32
        wprot = 0
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/sdmctrl.vhd" line 131: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <r.cfg.command>, <r.cfg.csize>, <r.cfg.bsize>, <r.cfg.casdel>, <r.cfg.trfc>, <r.cfg.trp>, <r.cfg.refresh>, <r.cfg.renable>, <r.cfg.pageburst>, <r.cfg.mobileen>, <r.cfg.ds>, <r.cfg.tcsr>, <r.cfg.pasr>, <r.cfg.pmode>, <r.cfg.txsr>, <r.cfg.cke>
INFO:Xst:2679 - Register <r.bsel> in unit <sdmctrl> has a constant value of 0 during circuit operation. The register is replaced by logic.
Entity <sdmctrl> analyzed. Unit <sdmctrl> generated.

Analyzing generic Entity <outpadv.1> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 4
Entity <outpadv.1> analyzed. Unit <outpadv.1> generated.

Analyzing generic Entity <outpad.3> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
Entity <outpad.3> analyzed. Unit <outpad.3> generated.

Analyzing generic Entity <outpadv.2> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 2
Entity <outpadv.2> analyzed. Unit <outpadv.2> generated.

Analyzing generic Entity <outpadv.3> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 28
Entity <outpadv.3> analyzed. Unit <outpadv.3> generated.

Analyzing generic Entity <outpadv.4> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 5
Entity <outpadv.4> analyzed. Unit <outpadv.4> generated.

Analyzing generic Entity <iopadv> in library <techmap> (Architecture <rtl>).
        level = 0
        oepol = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 8
Entity <iopadv> analyzed. Unit <iopadv> generated.

Analyzing generic Entity <iopad.2> in library <techmap> (Architecture <rtl>).
        level = 0
        oepol = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
Entity <iopad.2> analyzed. Unit <iopad.2> generated.

Analyzing generic Entity <virtex_iopad> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        voltage = 3
WARNING:Xst:2211 - "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd" line 106: Instantiating black box module <IOBUF>.
    Set user-defined property "CAPACITANCE =  DONT_CARE" for instance <ttl0.slow0.op> in unit <virtex_iopad>.
    Set user-defined property "DRIVE =  12" for instance <ttl0.slow0.op> in unit <virtex_iopad>.
    Set user-defined property "IOSTANDARD =  LVTTL" for instance <ttl0.slow0.op> in unit <virtex_iopad>.
    Set user-defined property "SLEW =  SLOW" for instance <ttl0.slow0.op> in unit <virtex_iopad>.
Entity <virtex_iopad> analyzed. Unit <virtex_iopad> generated.

Analyzing generic Entity <apbctrl> in library <grlib> (Architecture <rtl>).
        asserterr = 0
        assertwarn = 0
        debug = 2
        enbusmon = 0
        haddr = 2048
        hindex = 1
        hmask = 4095
        icheck = 1
        nslaves = 16
        pslvdisable = 0
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/apbctrl.vhd" line 91: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <apbo(0).pconfig>, <apbo(1).pconfig>, <apbo(2).pconfig>, <apbo(3).pconfig>, <apbo(4).pconfig>, <apbo(5).pconfig>, <apbo(6).pconfig>, <apbo(7).pconfig>, <apbo(8).pconfig>, <apbo(9).pconfig>, <apbo(10).pconfig>, <apbo(11).pconfig>, <apbo(12).pconfig>, <apbo(13).pconfig>, <apbo(14).pconfig>, <apbo(15).pconfig>, <apbo(0).pirq>, <apbo(1).pirq>, <apbo(2).pirq>, <apbo(3).pirq>, <apbo(4).pirq>, <apbo(5).pirq>, <apbo(6).pirq>, <apbo(7).pirq>, <apbo(8).pirq>, <apbo(9).pirq>, <apbo(10).pirq>, <apbo(11).pirq>, <apbo(12).pirq>, <apbo(13).pirq>, <apbo(14).pirq>, <apbo(15).pirq>
Entity <apbctrl> analyzed. Unit <apbctrl> generated.

Analyzing generic Entity <apbuart> in library <gaisler> (Architecture <rtl>).
        abits = 8
        console = 0
        fifosize = 4
        flow = 1
        paddr = 1
        parity = 1
        pindex = 1
        pirq = 2
        pmask = 4095
Entity <apbuart> analyzed. Unit <apbuart> generated.

Analyzing generic Entity <irqmp> in library <gaisler> (Architecture <rtl>).
        eirq = 0
        ncpu = 1
        paddr = 2
        pindex = 2
        pmask = 4095
WARNING:Xst:819 - "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/irqmp.vhd" line 101: One or more signals are missing in the process sensitivity list. To enable synthesis of FPGA/CPLD hardware, XST will assume that all necessary signals are present in the sensitivity list. Please note that the result of the synthesis may differ from the initial design specification. The missing signals are:
   <irqi(0).pwd>, <irqi(0).intack>, <irqi(0).irl>
Entity <irqmp> analyzed. Unit <irqmp> generated.

Analyzing generic Entity <iopad.1> in library <techmap> (Architecture <rtl>).
        level = 0
        oepol = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
Entity <iopad.1> analyzed. Unit <iopad.1> generated.

Analyzing generic Entity <outpadv.5> in library <techmap> (Architecture <rtl>).
        level = 0
        slew = 0
        strength = 12
        tech = 11
        voltage = 3
        width = 8
Entity <outpadv.5> analyzed. Unit <outpadv.5> generated.


=========================================================================
*                           HDL Synthesis                               *
=========================================================================

Performing bidirectional port resolution...
INFO:Xst:2679 - Register <r.ldefmst> in unit <ahbctrl> has a constant value of 0 during circuit operation. The register is replaced by logic.

Synthesizing Unit <rstgen>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/rstgen.vhd".
WARNING:Xst:647 - Input <testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
    Found 5-bit register for signal <r>.
    Found 1-bit register for signal <rstoutl>.
    Summary:
        inferred   6 D-type flip-flop(s).
Unit <rstgen> synthesized.


Synthesizing Unit <ahbctrl>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/ahbctrl.vhd".
WARNING:Xst:647 - Input <slvo(9).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(9).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(3).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(8).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(8).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(2).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(7).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(1).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(6).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(0).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(13).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(8).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(5).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(4).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(13).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(15).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(3).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(13).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(9).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(14).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(2).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(10).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(9).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(8).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(13).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(15).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(1).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(15).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(8).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(12).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(12).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(14).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(0).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(14).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(7).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(3).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(11).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(13).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(15).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(13).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(6).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(10).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(12).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(8).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(10).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(12).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(5).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(11).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(11).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(9).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(4).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(10).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(10).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(3).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(11).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(2).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(15).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(14).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(15).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(1).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(14).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(14).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(11).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(0).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(12).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(13).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(8).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(13).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(12).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(12).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(10).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(11).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(11).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(10).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(9).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(10).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(9).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(14).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(15).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(9).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(12).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(15).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(11).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(7).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).htrans> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(12).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(9).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(14).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(8).haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hbusreq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(14).hconfig> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(8).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(10).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(13).hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(6).hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(5).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(15).hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <msto(4).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <slvo(11).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <rsplitin> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rsplit> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rin.defmst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.haddr<15:11>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.beat> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Found 16x3-bit ROM for signal <bco_lsb$rom0000> created at line 160.
    Found 16x3-bit ROM for signal <bco_msb$rom0000> created at line 161.
    Found 3-bit 4-to-1 multiplexer for signal <slvi.hsize>.
    Found 1-bit 4-to-1 multiplexer for signal <slvi.hwrite>.
    Found 4-bit 4-to-1 multiplexer for signal <slvi.hprot>.
    Found 32-bit 4-to-1 multiplexer for signal <slvi.hwdata>.
    Found 32-bit 4-to-1 multiplexer for signal <msti.hrdata>.
    Found 2-bit 4-to-1 multiplexer for signal <$mux0000> created at line 374.
    Found 3-bit 4-to-1 multiplexer for signal <$mux0001> created at line 377.
    Found 32-bit 8-to-1 multiplexer for signal <$varindex0000> created at line 495.
    Found 1-bit 4-to-1 multiplexer for signal <arb$mux0000> created at line 379.
    Found 32-bit 4-to-1 multiplexer for signal <haddr$mux0000> created at line 365.
    Found 12-bit comparator equal for signal <hmbsel$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_0$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_0$cmp_eq0004> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_0$cmp_eq0005> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_1$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_1$cmp_eq0002> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_1$cmp_eq0005> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_2$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_2$cmp_eq0002> created at line 421.
    Found 12-bit comparator equal for signal <hmbsel_3$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hsel_1$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hsel_1$cmp_eq0001> created at line 421.
    Found 12-bit comparator equal for signal <hsel_1$cmp_eq0003> created at line 421.
    Found 12-bit comparator equal for signal <hsel_1$cmp_eq0007> created at line 421.
    Found 12-bit comparator equal for signal <hsel_2$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hsel_2$cmp_eq0001> created at line 421.
    Found 12-bit comparator equal for signal <hsel_2$cmp_eq0003> created at line 421.
    Found 12-bit comparator equal for signal <hsel_2$cmp_eq0007> created at line 421.
    Found 12-bit comparator equal for signal <hsel_3$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hsel_3$cmp_eq0001> created at line 421.
    Found 12-bit comparator equal for signal <hsel_3$cmp_eq0005> created at line 421.
    Found 12-bit comparator equal for signal <hsel_4$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hsel_4$cmp_eq0003> created at line 421.
    Found 12-bit comparator equal for signal <hsel_5$cmp_eq0001> created at line 421.
    Found 12-bit comparator equal for signal <hsel_6$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hsel_6$cmp_eq0002> created at line 421.
    Found 12-bit comparator equal for signal <hsel_6$cmp_eq0006> created at line 421.
    Found 12-bit comparator equal for signal <hsel_6$cmp_eq0010> created at line 421.
    Found 12-bit comparator equal for signal <hsel_7$cmp_eq0000> created at line 421.
    Found 12-bit comparator equal for signal <hsel_7$cmp_eq0001> created at line 421.
    Found 12-bit comparator equal for signal <hsel_7$cmp_eq0003> created at line 421.
    Found 12-bit comparator equal for signal <hsel_7$cmp_eq0007> created at line 421.
    Found 32-bit 8-to-1 multiplexer for signal <msti.hrdata$mux0000>.
    Found 1-bit register for signal <r.cfga11>.
    Found 1-bit register for signal <r.cfgsel>.
    Found 1-bit register for signal <r.defslv>.
    Found 14-bit register for signal <r.haddr>.
    Found 2-bit register for signal <r.hmaster>.
    Found 2-bit register for signal <r.hmasterd>.
    Found 1-bit register for signal <r.hmasterlock>.
    Found 1-bit register for signal <r.hmasterlockd>.
    Found 32-bit register for signal <r.hrdatam>.
    Found 32-bit register for signal <r.hrdatas>.
    Found 1-bit register for signal <r.hready>.
    Found 3-bit register for signal <r.hslave>.
    Found 2-bit register for signal <r.htrans>.
    Found 2-bit comparator greatequal for signal <rrvec_1$cmp_le0000> created at line 274.
    Found 2-bit comparator greatequal for signal <rrvec_2$cmp_le0000> created at line 274.
    Found 2-bit comparator greatequal for signal <rrvec_3$cmp_le0000> created at line 274.
    Found 1-bit 4-to-1 multiplexer for signal <v.hmasterlock$mux0000> created at line 557.
    Found 32-bit 4-to-1 multiplexer for signal <v.hrdatam$mux0000<255>> created at line 486.
    Found 256-bit 8-to-1 multiplexer for signal <v.hrdatas$mux0000<255:248>> created at line 495.
    Summary:
        inferred   2 ROM(s).
        inferred  93 D-type flip-flop(s).
        inferred  35 Comparator(s).
        inferred 463 Multiplexer(s).
Unit <ahbctrl> synthesized.


Synthesizing Unit <apbctrl>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/grlib/amba/apbctrl.vhd".
WARNING:Xst:647 - Input <apbo(9).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(8).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(7).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(6).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hmastlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(5).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(4).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(3).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hmbsel> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(2).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(1).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(15).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(0).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(14).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hsel<0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hsel<2:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(13).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(12).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(11).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbo(10).pindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.haddr<31:20>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hmaster> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
    Using one-hot encoding for signal <r.state>.
    Found 12-bit comparator equal for signal <psel_0$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_1$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_10$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_11$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_12$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_13$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_14$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_15$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_2$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_7$cmp_eq0001> created at line 130.
    Found 12-bit comparator equal for signal <psel_8$cmp_eq0001> created at line 130.
    Found 1-bit register for signal <r.cfgsel>.
    Found 20-bit register for signal <r.haddr>.
    Found 1-bit register for signal <r.hready>.
    Found 1-bit register for signal <r.hwrite>.
    Found 1-bit register for signal <r.penable>.
    Found 32-bit register for signal <r.prdata>.
    Found 1-bit register for signal <r.psel>.
    Found 32-bit register for signal <r.pwdata>.
    Found 3-bit register for signal <r.state>.
    Found 64-bit 16-to-1 multiplexer for signal <v.prdata$mux0001<63:62>> created at line 153.
    Summary:
        inferred  92 D-type flip-flop(s).
        inferred  11 Comparator(s).
        inferred  64 Multiplexer(s).
Unit <apbctrl> synthesized.


Synthesizing Unit <apbuart>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/apbuart.vhd".
WARNING:Xst:647 - Input <apbi.psel<0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.psel<2:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pwdata<31:13>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <uarto.flow> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <apbi.paddr<31:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.paddr<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <r.txstate> of Case statement line 321 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <r.txstate> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
    Using one-hot encoding for signal <r.txstate>.
    Using one-hot encoding for signal <r.rxstate>.
    Found 12-bit register for signal <r.brate>.
    Found 1-bit register for signal <r.break>.
    Found 2-bit register for signal <r.ctsn>.
    Found 1-bit register for signal <r.debug>.
    Found 1-bit register for signal <r.dpar>.
    Found 1-bit xor2 for signal <r.dpar$xor0000> created at line 420.
    Found 1-bit register for signal <r.extclk>.
    Found 1-bit register for signal <r.extclken>.
    Found 1-bit register for signal <r.flow>.
    Found 1-bit register for signal <r.frame>.
    Found 1-bit register for signal <r.irq>.
    Found 1-bit register for signal <r.loopb>.
    Found 1-bit register for signal <r.oen>.
    Found 1-bit register for signal <r.ovf>.
    Found 1-bit register for signal <r.paren>.
    Found 1-bit register for signal <r.parerr>.
    Found 1-bit register for signal <r.parsel>.
    Found 3-bit register for signal <r.rcnt>.
    Found 1-bit register for signal <r.rfifoirqen>.
    Found 32-bit register for signal <r.rhold>.
    Found 1-bit register for signal <r.rirqen>.
    Found 2-bit up counter for signal <r.rraddr>.
    Found 1-bit register for signal <r.rsempty>.
    Found 8-bit register for signal <r.rshift>.
    Found 1-bit register for signal <r.rtsn>.
    Found 2-bit register for signal <r.rwaddr>.
    Found 3-bit register for signal <r.rxclk>.
    Found 2-bit register for signal <r.rxdb>.
    Found 1-bit register for signal <r.rxen>.
    Found 5-bit register for signal <r.rxf>.
    Found 5-bit register for signal <r.rxstate>.
    Found 1-bit register for signal <r.rxtick>.
    Found 12-bit register for signal <r.scaler>.
    Found 3-bit register for signal <r.tcnt>.
    Found 1-bit register for signal <r.tfifoirqen>.
    Found 32-bit register for signal <r.thold>.
    Found 1-bit register for signal <r.tick>.
    Found 1-bit register for signal <r.tirqen>.
    Found 1-bit register for signal <r.tpar>.
    Found 1-bit xor2 for signal <r.tpar$xor0000> created at line 341.
    Found 2-bit register for signal <r.traddr>.
    Found 1-bit register for signal <r.tsempty>.
    Found 11-bit register for signal <r.tshift>.
    Found 2-bit up counter for signal <r.twaddr>.
    Found 3-bit register for signal <r.txclk>.
    Found 1-bit register for signal <r.txd>.
    Found 1-bit register for signal <r.txen>.
    Found 4-bit register for signal <r.txstate>.
    Found 1-bit register for signal <r.txtick>.
    Found 8-bit 4-to-1 multiplexer for signal <rdata_7_0$varindex0000> created at line 190.
    Found 8-bit 4-to-1 multiplexer for signal <rdata_7_0$varindex0001> created at line 226.
    Found 3-bit adder for signal <rxclk$add0001> created at line 233.
    Found 12-bit subtractor for signal <scaler$sub0000> created at line 273.
    Found 3-bit adder for signal <txclk$add0001> created at line 233.
    Found 3-bit adder for signal <v.rcnt$add0001> created at line 233.
    Found 3-bit subtractor for signal <v.rcnt$addsub0000> created at line 273.
    Found 3-bit adder for signal <v.rcnt$addsub0001> created at line 233.
    Found 2-bit adder for signal <v.rwaddr$add0001> created at line 233.
    Found 3-bit adder for signal <v.tcnt$addsub0000> created at line 233.
    Found 3-bit subtractor for signal <v.tcnt$sub0000> created at line 273.
    Found 2-bit adder for signal <v.traddr$add0001> created at line 233.
    Summary:
        inferred   2 Counter(s).
        inferred 169 D-type flip-flop(s).
        inferred  10 Adder/Subtractor(s).
        inferred  16 Multiplexer(s).
Unit <apbuart> synthesized.


Synthesizing Unit <irqmp>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/irqmp.vhd".
WARNING:Xst:647 - Input <apbi.psel<0:1>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.psel<3:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pirq<31:16>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pirq<0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pwdata<16>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.paddr<31:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.paddr<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <r2in.irl<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r2in.ipend> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r2in.imask<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.ibroadcast> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_0$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_1$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_1$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_10$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_10$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_11$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_11$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_12$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_12$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_13$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_13$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_14$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_14$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_15$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_15$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_2$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_2$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_3$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_3$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_4$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_4$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_5$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_5$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_6$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_6$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_7$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_7$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_8$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_8$mux0002> created at line 145.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_9$mux0001> created at line 143.
    Found 1-bit 4-to-1 multiplexer for signal <prdata_9$mux0002> created at line 145.
    Found 1-bit register for signal <r.cpurst<0>>.
    Found 15-bit register for signal <r.iforce<0>>.
    Found 15-bit register for signal <r.ilevel>.
    Found 15-bit register for signal <r.imask<0>>.
    Found 15-bit register for signal <r.ipend>.
    Found 4-bit register for signal <r.irl<0>>.
    Summary:
        inferred  65 D-type flip-flop(s).
        inferred  31 Multiplexer(s).
Unit <irqmp> synthesized.


Synthesizing Unit <mul32>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/mul32.vhd".
WARNING:Xst:647 - Input <muli.acc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <rm.acc<31:0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <prod<65:64>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <mreg> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <mmin.xsigned> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <mmin.xmac> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <mmin.msigned> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <mmin.mmac> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <mm.xsigned> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <mm.xmac> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <mm.msigned> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <mm.mmac> is used but never assigned. This sourceless signal will be automatically connected to value 0.
    Using one-hot encoding for signal <rm.state>.
    Found 66-bit register for signal <prod>.
    Found 33x33-bit multiplier for signal <prod$mult0001> created at line 111.
    Found 64-bit register for signal <rm.acc>.
    Found 1-bit register for signal <rm.nready>.
    Found 1-bit register for signal <rm.start>.
    Found 2-bit register for signal <rm.state>.
    Summary:
        inferred 134 D-type flip-flop(s).
        inferred   1 Multiplier(s).
Unit <mul32> synthesized.


Synthesizing Unit <div32>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/arith/div32.vhd".
    Using one-hot encoding for signal <r.state>.
    Found 33-bit adder for signal <addout>.
    Found 33-bit adder for signal <addout$add0000> created at line 209.
    Found 5-bit register for signal <r.cnt>.
    Found 1-bit register for signal <r.neg>.
    Found 1-bit xor2 for signal <r.neg$xor0000> created at line 94.
    Found 1-bit register for signal <r.ovf>.
    Found 1-bit register for signal <r.qcorr>.
    Found 1-bit xor2 for signal <r.qcorr$xor0000> created at line 117.
    Found 1-bit register for signal <r.qmsb>.
    Found 1-bit register for signal <r.qzero>.
    Found 1-bit xor2 for signal <r.qzero$xor0000> created at line 111.
    Found 6-bit register for signal <r.state>.
    Found 65-bit register for signal <r.x>.
    Found 1-bit register for signal <r.zcorr>.
    Found 1-bit register for signal <r.zero>.
    Found 1-bit register for signal <r.zero2>.
    Found 5-bit adder for signal <v.cnt$add0000> created at line 233.
    Found 1-bit xor2 for signal <v.x_31_0$xor0000> created at line 83.
    Summary:
        inferred  84 D-type flip-flop(s).
        inferred   3 Adder/Subtractor(s).
Unit <div32> synthesized.


Synthesizing Unit <reg_zero>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/reg_zero.vhd".
WARNING:Xst:737 - Found 2-bit latch for signal <i>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
    Using one-hot encoding for signal <i>.
Unit <reg_zero> synthesized.


Synthesizing Unit <my_mux>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/my_mux.vhd".
    Found 32-bit 4-to-1 multiplexer for signal <res>.
    Summary:
        inferred  32 Multiplexer(s).
Unit <my_mux> synthesized.


Synthesizing Unit <r32_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 32-bit register for signal <r32_o>.
    Summary:
        inferred  32 D-type flip-flop(s).
Unit <r32_reg> synthesized.


Synthesizing Unit <r32_inst_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 32-bit register for signal <r32_o>.
    Summary:
        inferred  32 D-type flip-flop(s).
Unit <r32_inst_reg> synthesized.


Synthesizing Unit <r32_data_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 32-bit register for signal <r32_o>.
    Summary:
        inferred  32 D-type flip-flop(s).
Unit <r32_data_reg> synthesized.


Synthesizing Unit <r5_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit register for signal <r5_o>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <r5_reg> synthesized.


Synthesizing Unit <wb_mux>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
Unit <wb_mux> synthesized.


Synthesizing Unit <r4_asi_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit register for signal <r4_o>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <r4_asi_reg> synthesized.


Synthesizing Unit <r4_rdaddr_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit register for signal <r4_o>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <r4_rdaddr_reg> synthesized.


Synthesizing Unit <cal_cpi>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 101-bit up counter for signal <ins_no>.
    Found 101-bit up counter for signal <clk_no>.
    Summary:
        inferred   2 Counter(s).
Unit <cal_cpi> synthesized.


Synthesizing Unit <ctl_FSM>.
    Related source file is "../../lib/gaisler/vlog/ctl_fsm1.v".
    Using one-hot encoding for signal <CurrState>.
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <CurrState> of Case statement line 71 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <CurrState> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <CurrState> of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <CurrState> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <CurrState> of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <CurrState> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <CurrState> of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <CurrState> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <CurrState> of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <CurrState> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <CurrState> of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <CurrState> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <CurrState> of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <CurrState> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <CurrState> of Case statement line 104 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <CurrState> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
    Found 8-bit register for signal <CurrState>.
    Found 6-bit up counter for signal <delay_counter>.
    Found 1-bit register for signal <riack>.
    Summary:
        inferred   1 Counter(s).
        inferred   9 D-type flip-flop(s).
Unit <ctl_FSM> synthesized.


Synthesizing Unit <pc_gen>.
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
WARNING:Xst:647 - Input <clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <hold> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <br_addr<32>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:737 - Found 1-bit latch for signal <branch>. Latches may be generated from incomplete case or if statements. We do not recommend the use of latches in FPGA/CPLD designs, as they may lead to timing problems.
    Found 33-bit adder for signal <br_addr>.
    Found 33-bit subtractor for signal <br_addr$addsub0000> created at line 72.
    Found 32-bit adder for signal <pc_next$share0000> created at line 76.
    Summary:
        inferred   3 Adder/Subtractor(s).
Unit <pc_gen> synthesized.


Synthesizing Unit <compare>.
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
    Found 32-bit comparator equal for signal <res$cmp_eq0001> created at line 47.
    Found 32-bit comparator not equal for signal <res$cmp_ne0000> created at line 48.
    Summary:
        inferred   2 Comparator(s).
Unit <compare> synthesized.


Synthesizing Unit <ext>.
    Related source file is "../../lib/gaisler/vlog/RF_components1.v".
WARNING:Xst:647 - Input <ins_i<31:26>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Unit <ext> synthesized.


Synthesizing Unit <r32_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 32-bit register for signal <r32_o>.
    Summary:
        inferred  32 D-type flip-flop(s).
Unit <r32_reg_clr_cls> synthesized.


Synthesizing Unit <jack>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
WARNING:Xst:647 - Input <ins_i<31:26>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ins_i<10:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Unit <jack> synthesized.


Synthesizing Unit <rd_sel>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit 4-to-1 multiplexer for signal <rd_o>.
    Summary:
        inferred   5 Multiplexer(s).
Unit <rd_sel> synthesized.


Synthesizing Unit <fwd_mux>.
    Related source file is "../../lib/gaisler/vlog/forward.v".
Unit <fwd_mux> synthesized.


Synthesizing Unit <add32>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 32-bit adder for signal <d_o>.
    Summary:
        inferred   1 Adder/Subtractor(s).
Unit <add32> synthesized.


Synthesizing Unit <alu_muxa>.
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
    Found 32-bit 4-to-1 multiplexer for signal <a_o>.
    Found 32-bit 4-to-1 multiplexer for signal <a_o$mux0000> created at line 212.
    Summary:
        inferred  64 Multiplexer(s).
Unit <alu_muxa> synthesized.


Synthesizing Unit <alu_muxb>.
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
    Found 32-bit 4-to-1 multiplexer for signal <b_o>.
    Found 32-bit 4-to-1 multiplexer for signal <b_o$mux0000> created at line 232.
    Summary:
        inferred  64 Multiplexer(s).
Unit <alu_muxb> synthesized.


Synthesizing Unit <r32_reg_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 32-bit register for signal <r32_o>.
    Summary:
        inferred  32 D-type flip-flop(s).
Unit <r32_reg_cls> synthesized.


Synthesizing Unit <muldiv_ff>.
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
WARNING:Xst:646 - Signal <START_SECTION/over> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <LAST_CYCLE_DEAL_SECTION/eqz> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <LAST_CYCLE_DEAL_SECTION/eqop2> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <LAST_CYCLE_DEAL_SECTION/eqnop2> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <LAST_CYCLE_DEAL_SECTION/LAST_CYCLE_DEAL_SECTION_DEFAULT/op1s_eq_op2s> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <LAST_CYCLE_DEAL_SECTION/LAST_CYCLE_DEAL_SECTION_DEFAULT/op1s_eq_h64> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Found 32-bit 4-to-1 multiplexer for signal <res>.
    Found 1-bit register for signal <rdy>.
    Found 33-bit adder for signal <$add0000> created at line 678.
    Found 33-bit adder for signal <$add0001> created at line 679.
    Found 33-bit adder for signal <$add0002> created at line 693.
    Found 33-bit adder for signal <$add0003> created at line 693.
    Found 32-bit adder for signal <$add0004> created at line 703.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0000> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0001> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0002> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0003> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0004> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0005> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0006> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0007> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0008> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0009> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0010> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0011> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0012> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0013> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0014> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0015> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0016> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0017> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0018> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0019> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0020> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0021> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0022> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0023> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0024> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0025> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0026> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0027> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0028> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0029> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0030> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0031> created at line 677.
    Found 1-bit 4-to-1 multiplexer for signal <$mux0032> created at line 677.
    Found 1-bit register for signal <add1>.
    Found 1-bit register for signal <addnop2>.
    Found 1-bit register for signal <addop2>.
    Found 6-bit up counter for signal <count>.
    Found 1-bit register for signal <finish>.
    Found 65-bit register for signal <hilo>.
    Found 1-bit xor2 for signal <hilo_0$xor0000> created at line 695.
    Found 1-bit register for signal <mul>.
    Found 33-bit adder for signal <nop2_reged>.
    Found 1-bit xor2 for signal <old_sub_or_yn_62$xor0000> created at line 690.
    Found 1-bit register for signal <op1_sign_reged>.
    Found 1-bit xor2 for signal <op1s_eq_h64_69$xor0000> created at line 731.
    Found 1-bit xor2 for signal <op1s_eq_op2s_68$xor0000> created at line 730.
    Found 33-bit register for signal <op2_reged>.
    Found 1-bit register for signal <op2_sign_reged>.
    Found 1-bit register for signal <overflow>.
    Found 1-bit register for signal <sign>.
    Found 1-bit register for signal <start>.
    Found 1-bit register for signal <sub_or_yn>.
    Summary:
        inferred   1 Counter(s).
        inferred 110 D-type flip-flop(s).
        inferred   7 Adder/Subtractor(s).
        inferred   2 Comparator(s).
        inferred  65 Multiplexer(s).
Unit <muldiv_ff> synthesized.


Synthesizing Unit <shifter_tak>.
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
WARNING:Xst:647 - Input <shift_amount<31:5>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Unit <shifter_tak> synthesized.


Synthesizing Unit <alu>.
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
WARNING:Xst:646 - Signal <sum> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Found 32-bit adder for signal <alu_out$addsub0000>.
    Found 32-bit adder for signal <alu_out$addsub0001> created at line 259.
    Found 32-bit comparator less for signal <alu_out$cmp_lt0000> created at line 264.
    Found 32-bit xor2 for signal <alu_out$xor0000> created at line 262.
    Found 33-bit adder for signal <old_sum_72$addsub0000> created at line 267.
    Summary:
        inferred   4 Adder/Subtractor(s).
        inferred   1 Comparator(s).
Unit <alu> synthesized.


Synthesizing Unit <decoder>.
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
WARNING:Xst:646 - Signal <inst_cop0_func> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <inst_cop0_code> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Found 4x1-bit ROM for signal <old_read_rs_75$mux0000> created at line 740.
    Found 5-bit comparator equal for signal <load_o$cmp_eq0000> created at line 1534.
    Found 5-bit comparator equal for signal <load_o$cmp_eq0001> created at line 1534.
    Summary:
        inferred   1 ROM(s).
        inferred   2 Comparator(s).
Unit <decoder> synthesized.


Synthesizing Unit <muxb_ctl_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 2-bit register for signal <muxb_ctl_o>.
    Summary:
        inferred   2 D-type flip-flop(s).
Unit <muxb_ctl_reg_clr_cls> synthesized.


Synthesizing Unit <wb_mux_ctl_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <wb_mux_ctl_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <wb_mux_ctl_reg_clr_cls> synthesized.


Synthesizing Unit <wb_we_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <wb_we_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <wb_we_reg_clr_cls> synthesized.


Synthesizing Unit <wb_we_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <wb_we_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <wb_we_reg> synthesized.


Synthesizing Unit <wb_mux_ctl_reg_clr>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <wb_mux_ctl_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <wb_mux_ctl_reg_clr> synthesized.


Synthesizing Unit <muxb_ctl_reg_clr>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 2-bit register for signal <muxb_ctl_o>.
    Summary:
        inferred   2 D-type flip-flop(s).
Unit <muxb_ctl_reg_clr> synthesized.


Synthesizing Unit <dmem_ctl_reg_clr>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit register for signal <dmem_ctl_o>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <dmem_ctl_reg_clr> synthesized.


Synthesizing Unit <alu_func_reg_clr>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit register for signal <alu_func_o>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <alu_func_reg_clr> synthesized.


Synthesizing Unit <muxa_ctl_reg_clr>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 2-bit register for signal <muxa_ctl_o>.
    Summary:
        inferred   2 D-type flip-flop(s).
Unit <muxa_ctl_reg_clr> synthesized.


Synthesizing Unit <wb_mux_ctl_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <wb_mux_ctl_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <wb_mux_ctl_reg> synthesized.


Synthesizing Unit <wb_we_reg_clr>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <wb_we_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <wb_we_reg_clr> synthesized.


Synthesizing Unit <cmp_ctl_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 3-bit register for signal <cmp_ctl_o>.
    Summary:
        inferred   3 D-type flip-flop(s).
Unit <cmp_ctl_reg_clr_cls> synthesized.


Synthesizing Unit <alu_we_reg_clr>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <alu_we_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <alu_we_reg_clr> synthesized.


Synthesizing Unit <alu_func_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit register for signal <alu_func_o>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <alu_func_reg_clr_cls> synthesized.


Synthesizing Unit <dmem_ctl_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit register for signal <dmem_ctl_o>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <dmem_ctl_reg_clr_cls> synthesized.


Synthesizing Unit <ext_ctl_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 3-bit register for signal <ext_ctl_o>.
    Summary:
        inferred   3 D-type flip-flop(s).
Unit <ext_ctl_reg_clr_cls> synthesized.


Synthesizing Unit <rd_sel_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 2-bit register for signal <rd_sel_o>.
    Summary:
        inferred   2 D-type flip-flop(s).
Unit <rd_sel_reg_clr_cls> synthesized.


Synthesizing Unit <alu_we_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <alu_we_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <alu_we_reg_clr_cls> synthesized.


Synthesizing Unit <muxa_ctl_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 2-bit register for signal <muxa_ctl_o>.
    Summary:
        inferred   2 D-type flip-flop(s).
Unit <muxa_ctl_reg_clr_cls> synthesized.


Synthesizing Unit <pc_gen_ctl_reg_clr_cls>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 3-bit register for signal <pc_gen_ctl_o>.
    Summary:
        inferred   3 D-type flip-flop(s).
Unit <pc_gen_ctl_reg_clr_cls> synthesized.


Synthesizing Unit <dmem_ctl_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 5-bit register for signal <dmem_ctl_o>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <dmem_ctl_reg> synthesized.


Synthesizing Unit <forward_node>.
    Related source file is "../../lib/gaisler/vlog/forward.v".
    Found 5-bit comparator equal for signal <mux_fw$cmp_eq0000> created at line 33.
    Found 5-bit comparator equal for signal <mux_fw$cmp_eq0001> created at line 33.
    Summary:
        inferred   2 Comparator(s).
Unit <forward_node> synthesized.


Synthesizing Unit <fw_latch5>.
    Related source file is "../../lib/gaisler/vlog/forward.v".
    Found 5-bit register for signal <q>.
    Summary:
        inferred   5 D-type flip-flop(s).
Unit <fw_latch5> synthesized.


Synthesizing Unit <r1_reg>.
    Related source file is "../../lib/gaisler/vlog/ulit.v".
    Found 1-bit register for signal <r1_o<0>>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <r1_reg> synthesized.


Synthesizing Unit <icache>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/icache.vhd".
WARNING:Xst:647 - Input <ici.fline> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <icramo.tpar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.ilock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.cctrl.ifrz> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ici.rpc<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.eenaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.werr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.enaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.nullify> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.cache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.asi> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.read> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.cctrl.dsnoop> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ici.pnull> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ici.dpc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.write> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <icramo.ctx> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.addr<31:22>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.addr<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <mcio.par> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.ctx> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <mcio.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ici.fpc<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.dsuen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.set> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <icramo.dpar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.idle> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.lock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.mexc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.intack> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.esu> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.msu> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.flushl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.cctrl.dfrz> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.pflushaddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.mds> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.pflushtyp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.edata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.flush> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ici.flushl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.cctrl.dcs> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.eaddress> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dco.icdiag.pflush> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <icramo.tag<1:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.size> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1780 - Signal <tag<0>> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1781 - Signal <tag<1:3>> is used but never assigned. Tied to default value.
WARNING:Xst:646 - Signal <rl.write> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rl.waddr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <rl.set<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.rndcnt<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.diagset<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.write> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.waddr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.set<0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<0><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<1><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<2><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<3><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<4><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<5><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<6><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<7><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<8><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<9><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<10><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<11><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<12><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<13><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<14><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<15><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<16><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<17><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<18><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<19><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<20><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<21><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<22><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<23><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<24><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<25><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<26><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<27><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<28><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<29><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<30><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<31><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<32><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<33><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<34><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<35><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<36><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<37><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<38><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<39><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<40><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<41><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<42><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<43><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<44><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<45><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<46><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<47><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<48><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<49><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<50><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<51><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<52><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<53><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<54><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<55><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<56><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<57><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<58><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<59><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<60><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<61><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<62><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<63><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<64><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<65><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<66><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<67><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<68><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<69><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<70><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<71><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<72><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<73><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<74><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<75><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<76><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<77><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<78><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<79><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<80><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<81><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<82><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<83><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<84><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<85><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<86><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<87><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<88><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<89><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<90><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<91><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<92><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<93><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<94><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<95><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<96><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<97><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<98><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<99><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<100><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<101><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<102><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<103><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<104><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<105><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<106><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<107><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<108><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<109><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<110><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<111><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<112><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<113><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<114><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<115><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<116><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<117><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<118><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<119><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<120><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<121><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<122><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<123><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<124><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<125><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<126><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cl.lru<127><0>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Using one-hot encoding for signal <r.istate>.
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <r.istate> of Case statement line 291 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <r.istate> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
    Using one-hot encoding for signal <rdatasel$mux0000>.
    Found 32-bit 4-to-1 multiplexer for signal <ico.diagdata>.
    Found 20-bit comparator equal for signal <hit$cmp_eq0000> created at line 261.
    Found 1-bit register for signal <r.burst>.
    Found 1-bit register for signal <r.diagrdy>.
    Found 7-bit up counter for signal <r.faddr>.
    Found 1-bit register for signal <r.flush>.
    Found 1-bit register for signal <r.flush2>.
    Found 1-bit register for signal <r.flush3>.
    Found 1-bit register for signal <r.hit>.
    Found 1-bit register for signal <r.holdn>.
    Found 3-bit register for signal <r.istate>.
    Found 1-bit register for signal <r.lock>.
    Found 1-bit register for signal <r.lrr>.
    Found 1-bit register for signal <r.overrun>.
    Found 1-bit register for signal <r.req>.
    Found 1-bit register for signal <r.su>.
    Found 1-bit register for signal <r.underrun>.
    Found 8-bit register for signal <r.valid>.
    Found 30-bit register for signal <r.waddress>.
    Found 1-bit 8-to-1 multiplexer for signal <res$mux0000> created at line 141.
    Found 7-bit adder for signal <v.faddr$add0000> created at line 233.
    Found 3-bit adder for signal <xaddr_inc$add0000> created at line 233.
    Summary:
        inferred   1 Counter(s).
        inferred  54 D-type flip-flop(s).
        inferred   2 Adder/Subtractor(s).
        inferred   1 Comparator(s).
        inferred  33 Multiplexer(s).
Unit <icache> synthesized.


Synthesizing Unit <dcache>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/dcache.vhd".
WARNING:Xst:647 - Input <ahbsi.hsel> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hmastlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <dco.cache> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <ahbsi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ico.data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dcramo.dpar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dcramo.ctx> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hsize> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dcramo.stag<0><11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dcramo.stag<1><11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dcramo.stag<2><11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dcramo.stag<3><11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <mcdo.par> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ico.set> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.haddr<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hwdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dcramo.tpar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ico.mds> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ico.idle> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ico.mexc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <dcrami.spar> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <dci.esu> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.msu> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.flushl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hburst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hmbsel> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <dco.icdiag.pflushtyp> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <dcramo.spar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.eaddress<31:12>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dci.eaddress<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hmaster> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <r.lramrd> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.forcemiss> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ch.taddr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ch.set> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ch.hit> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Using one-hot encoding for signal <r.dstate>.
    Using one-hot encoding for signal <rdatasel$mux0002>.
    Found 32x20-bit ROM for signal <xnew_lru$rom0000>.
    Found 5-bit 4-to-1 multiplexer for signal <$mux0140> created at line 214.
    Found 2-bit adder for signal <c.rndcnt$addsub0000> created at line 233.
    Found 20-bit comparator equal for signal <hitv$cmp_eq0000> created at line 417.
    Found 20-bit comparator equal for signal <hitv_0$cmp_eq0000> created at line 417.
    Found 20-bit comparator equal for signal <hitv_1$cmp_eq0000> created at line 417.
    Found 20-bit comparator equal for signal <hitv_2$cmp_eq0000> created at line 417.
    Found 4-bit register for signal <r.asi>.
    Found 1-bit register for signal <r.burst>.
    Found 1-bit register for signal <r.cctrl.burst>.
    Found 2-bit register for signal <r.cctrl.dcs>.
    Found 1-bit register for signal <r.cctrl.dfrz>.
    Found 1-bit register for signal <r.cctrl.dsnoop>.
    Found 2-bit register for signal <r.cctrl.ics>.
    Found 1-bit register for signal <r.cctrl.ifrz>.
    Found 6-bit register for signal <r.dstate>.
    Found 2-bit register for signal <r.dsuset>.
    Found 8-bit up counter for signal <r.faddr>.
    Found 1-bit register for signal <r.flush>.
    Found 1-bit register for signal <r.flush2>.
    Found 1-bit register for signal <r.hit>.
    Found 1-bit register for signal <r.holdn>.
    Found 1-bit register for signal <r.icenable>.
    Found 1-bit register for signal <r.ilramen>.
    Found 1-bit register for signal <r.lock>.
    Found 1-bit register for signal <r.lrr>.
    Found 1-bit register for signal <r.mexc>.
    Found 1-bit register for signal <r.nomds>.
    Found 1-bit register for signal <r.read>.
    Found 1-bit register for signal <r.req>.
    Found 2-bit register for signal <r.rndcnt>.
    Found 2-bit register for signal <r.setrepl>.
    Found 2-bit register for signal <r.size>.
    Found 1-bit register for signal <r.stpend>.
    Found 16-bit register for signal <r.valid>.
    Found 32-bit register for signal <r.wb.addr>.
    Found 4-bit register for signal <r.wb.asi>.
    Found 32-bit register for signal <r.wb.data1>.
    Found 32-bit register for signal <r.wb.data2>.
    Found 1-bit register for signal <r.wb.lock>.
    Found 1-bit register for signal <r.wb.read>.
    Found 2-bit register for signal <r.wb.size>.
    Found 32-bit register for signal <r.xaddress>.
    Found 28-bit comparator equal for signal <rbphit$cmp_eq0000> created at line 358.
    Found 1-bit 4-to-1 multiplexer for signal <res1$mux0000> created at line 141.
    Found 1-bit 4-to-1 multiplexer for signal <res3$mux0000> created at line 141.
    Found 1-bit 4-to-1 multiplexer for signal <res5$mux0000> created at line 141.
    Found 1-bit 4-to-1 multiplexer for signal <res7$mux0000> created at line 141.
    Found 1280-bit register for signal <rl.lru>.
    Found 2-bit register for signal <rl.set>.
    Found 8-bit register for signal <rl.waddr>.
    Found 1-bit register for signal <rl.write>.
    Found 28-bit register for signal <rs.addr>.
    Found 4-bit register for signal <rs.readbpx>.
    Found 1-bit register for signal <rs.snoop>.
    Found 4-bit register for signal <rs.writebp>.
    Found 20-bit comparator equal for signal <snoopset$cmp_eq0000> created at line 365.
    Found 20-bit comparator equal for signal <snoopset$cmp_eq0001> created at line 365.
    Found 20-bit comparator equal for signal <snoopset$cmp_eq0002> created at line 365.
    Found 20-bit comparator equal for signal <snoopset$cmp_eq0003> created at line 365.
    Found 2-bit comparator equal for signal <twrite$cmp_eq0000> created at line 943.
    Found 8-bit adder for signal <v.faddr$add0000> created at line 233.
    Found 8-bit comparator equal for signal <vs.writebp_1$cmp_eq0000> created at line 934.
    Found 5-bit 256-to-1 multiplexer for signal <xnew_lru$varindex0000> created at line 888.
    Found 5-bit 256-to-1 multiplexer for signal <xset$varindex0000> created at line 639.
INFO:Xst:738 - HDL ADVISOR - 1280 flip-flops were inferred for signal <rl.lru>. You may be trying to describe a RAM in a way that is incompatible with block and distributed RAM resources available on Xilinx devices, or with a specific template that is not supported. Please review the Xilinx resources documentation and the XST user manual for coding guidelines. Taking advantage of RAM resources will lead to improved device usage and reduced synthesis time.
    Summary:
        inferred   1 ROM(s).
        inferred   1 Counter(s).
        inferred 1520 D-type flip-flop(s).
        inferred   2 Adder/Subtractor(s).
        inferred  11 Comparator(s).
        inferred  19 Multiplexer(s).
Unit <dcache> synthesized.


Synthesizing Unit <mmu_acache>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/mmu_acache.vhd".
WARNING:Xst:647 - Input <ahbso(12).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <hclken> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <mcii.flush> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <mcmmi.burst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <mcdo.par> is never assigned. Tied to value 0000.
WARNING:Xst:647 - Input <ahbso(3).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hgrant<1:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(14).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(10).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(1).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(7).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(5).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <mcio.par> is never assigned. Tied to value 0000.
WARNING:Xst:647 - Input <ahbso(9).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(2).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(13).hindex> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(12).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(0).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(11).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(8).hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(9).hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(6).hresp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(3).hsplit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<0:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<4><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<4><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<5><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<5><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<6><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<6><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<7><19:17>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(15).hconfig<7><3:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbso(4).hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <r2in.reqmsk> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r2in.hclken2> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <r2.hclken2> is used but never assigned. This sourceless signal will be automatically connected to value 0.
    Found 1-bit 4-to-1 multiplexer for signal <mcdo.mexc>.
    Found 1-bit 4-to-1 multiplexer for signal <mcdo.retry>.
    Found 1-bit 4-to-1 multiplexer for signal <mcdo.grant>.
    Found 1-bit 4-to-1 multiplexer for signal <mcdo.cache>.
    Found 1-bit 4-to-1 multiplexer for signal <mcdo.ready>.
    Found 1-bit 4-to-1 multiplexer for signal <ahbo.hwrite>.
    Found 1-bit xor2 for signal <ahbo.hprot$xor0000> created at line 312.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_10$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_11$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_12$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_13$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_14$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_15$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_16$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_17$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_18$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_19$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_2$mux0004> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_20$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_21$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_22$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_23$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_24$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_25$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_26$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_27$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_28$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_29$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_3$mux0004> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_30$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_31$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_4$mux0004> created at line 184.
    Found 3-bit adder for signal <haddr_4_2$add0000> created at line 233.
    Found 3-bit adder for signal <haddr_4_2$add0001> created at line 233.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_5$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_6$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_7$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_8$mux0002> created at line 184.
    Found 1-bit 4-to-1 multiplexer for signal <haddr_9$mux0002> created at line 184.
    Found 3-bit 4-to-1 multiplexer for signal <hburst$mux0005> created at line 184.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0000> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0001> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0002> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0003> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0004> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0005> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0006> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0007> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0008> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0009> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0010> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0011> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0012> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0013> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0014> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0015> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0016> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0017> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0018> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0019> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0020> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0021> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0022> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0023> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0024> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0025> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0026> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0027> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0028> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0029> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0030> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0031> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0032> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0033> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0034> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0035> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0036> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0037> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0038> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0039> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0040> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0041> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0042> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0043> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0044> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0045> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0046> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0047> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0048> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0049> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0050> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0051> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0052> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0053> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0054> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0055> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0056> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0057> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0058> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0059> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0060> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0061> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0062> created at line 500.
    Found 12-bit comparator equal for signal <hcache$cmp_eq0063> created at line 500.
    Found 1-bit 4-to-1 multiplexer for signal <hlock$mux0002> created at line 184.
    Found 3-bit 4-to-1 multiplexer for signal <hsize$mux0002> created at line 184.
    Found 1-bit register for signal <r.ba>.
    Found 1-bit register for signal <r.bg>.
    Found 2-bit register for signal <r.bo>.
    Found 1-bit register for signal <r.hcache>.
    Found 1-bit 4-to-1 multiplexer for signal <r.hcache$mux0000>.
    Found 1-bit register for signal <r.hlocken>.
    Found 1-bit register for signal <r.lb>.
    Found 1-bit register for signal <r.lock>.
    Found 1-bit register for signal <r.nba>.
    Found 2-bit register for signal <r.nbo>.
    Found 1-bit register for signal <r.retry>.
    Found 1-bit register for signal <r.retry2>.
    Found 1-bit register for signal <r.werr>.
    Found 1-bit 4-to-1 multiplexer for signal <su$mux0003> created at line 184.
    Summary:
        inferred  14 D-type flip-flop(s).
        inferred   2 Adder/Subtractor(s).
        inferred  64 Comparator(s).
        inferred  45 Multiplexer(s).
Unit <mmu_acache> synthesized.


Synthesizing Unit <generic_regfile_3p>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/inferred/memory_inferred.vhd".
WARNING:Xst:647 - Input <rclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
    Found 32x32-bit dual-port RAM <Mram_memarr> for signal <memarr>.
    Found 32x32-bit dual-port RAM <Mram_memarr_ren> for signal <memarr>.
    Found 32-bit register for signal <din>.
    Found 5-bit register for signal <ra1>.
    Found 5-bit register for signal <ra2>.
    Found 5-bit comparator equal for signal <rdata1$cmp_eq0000> created at line 177.
    Found 5-bit comparator equal for signal <rdata2$cmp_eq0000> created at line 179.
    Found 5-bit register for signal <wa>.
    Found 1-bit register for signal <wr>.
    Summary:
        inferred   2 RAM(s).
        inferred  48 D-type flip-flop(s).
        inferred   2 Comparator(s).
Unit <generic_regfile_3p> synthesized.


Synthesizing Unit <ahbmst_1>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbmst.vhd".
WARNING:Xst:647 - Input <ahbi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hgrant<0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hgrant<2:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
    Found 1-bit register for signal <r.active>.
    Found 1-bit register for signal <r.grant>.
    Found 1-bit register for signal <r.retry>.
    Found 1-bit register for signal <r.start>.
    Summary:
        inferred   4 D-type flip-flop(s).
Unit <ahbmst_1> synthesized.


Synthesizing Unit <dcom_uart>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom_uart.vhd".
WARNING:Xst:647 - Input <apbi.psel<0:6>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.psel<8:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pwdata<31:18>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui.ctsn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <uo.flow> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <uo.rxen> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <apbi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ui.extclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <uo.txen> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <apbi.paddr<31:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.paddr<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <r.rxstate> of Case statement line 240 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <r.rxstate> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
    Using one-hot encoding for signal <r.rxstate>.
    Using one-hot encoding for signal <r.txstate>.
    Found 18-bit register for signal <r.brate>.
    Found 14-bit comparator lessequal for signal <r.brate$cmp_le0000> created at line 128.
    Found 14-bit comparator not equal for signal <r.brate$cmp_ne0001> created at line 132.
    Found 1-bit register for signal <r.break>.
    Found 1-bit register for signal <r.dready>.
    Found 1-bit register for signal <r.fedge>.
    Found 1-bit register for signal <r.frame>.
    Found 1-bit register for signal <r.ovf>.
    Found 8-bit register for signal <r.rhold>.
    Found 1-bit register for signal <r.rsempty>.
    Found 8-bit register for signal <r.rshift>.
    Found 3-bit register for signal <r.rxclk>.
    Found 1-bit register for signal <r.rxdb>.
    Found 7-bit comparator equal for signal <r.rxdb$cmp_eq0000> created at line 201.
    Found 1-bit register for signal <r.rxdb2>.
    Found 1-bit register for signal <r.rxen>.
    Found 8-bit register for signal <r.rxf>.
    Found 4-bit register for signal <r.rxstate>.
    Found 1-bit register for signal <r.rxtick>.
    Found 18-bit register for signal <r.scaler>.
    Found 2-bit register for signal <r.tcnt>.
    Found 1-bit register for signal <r.thempty>.
    Found 8-bit register for signal <r.thold>.
    Found 1-bit register for signal <r.tick>.
    Found 1-bit register for signal <r.tsempty>.
    Found 11-bit register for signal <r.tshift>.
    Found 3-bit register for signal <r.txclk>.
    Found 3-bit register for signal <r.txstate>.
    Found 1-bit register for signal <r.txtick>.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_0$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_1$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_10$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_11$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_12$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_13$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_14$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_15$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_16$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_17$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_2$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_3$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_4$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_5$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_6$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_7$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_8$mux0000> created at line 158.
    Found 1-bit 4-to-1 multiplexer for signal <rdata_9$mux0000> created at line 158.
    Found 3-bit adder for signal <rxclk$add0001> created at line 233.
    Found 18-bit addsub for signal <scaler$mux0000> created at line 114.
    Found 3-bit adder for signal <txclk$add0001> created at line 233.
    Found 14-bit comparator equal for signal <v.scaler$cmp_eq0000> created at line 132.
    Found 2-bit adder for signal <v.tcnt$addsub0000> created at line 233.
    Found 14-bit comparator greater for signal <v.tcnt$cmp_gt0000> created at line 128.
    Summary:
        inferred 108 D-type flip-flop(s).
        inferred   4 Adder/Subtractor(s).
        inferred   5 Comparator(s).
        inferred  18 Multiplexer(s).
Unit <dcom_uart> synthesized.


Synthesizing Unit <dcom>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/dcom.vhd".
WARNING:Xst:647 - Input <ahbi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dmao.start> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dmao.haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hgrant> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dmao.mexc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <uarto.tsempty> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dmao.retry> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <uarto.enable> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hrdata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <r.hresp> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Using one-hot encoding for signal <r.state>.
    Found 30-bit adder for signal <newaddr$add0000> created at line 233.
    Found 6-bit subtractor for signal <newlen$sub0001> created at line 273.
    Found 32-bit register for signal <r.addr>.
    Found 2-bit register for signal <r.clen>.
    Found 32-bit register for signal <r.data>.
    Found 6-bit register for signal <r.len>.
    Found 6-bit register for signal <r.state>.
    Found 1-bit register for signal <r.write>.
    Found 2-bit adder for signal <v.clen$add0001> created at line 233.
    Summary:
        inferred  79 D-type flip-flop(s).
        inferred   3 Adder/Subtractor(s).
Unit <dcom> synthesized.


Synthesizing Unit <ahbmst_2>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/misc/ahbmst.vhd".
WARNING:Xst:647 - Input <ahbi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hgrant<0:1>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hgrant<3:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
    Found 1-bit register for signal <r.active>.
    Found 1-bit register for signal <r.grant>.
    Found 1-bit register for signal <r.retry>.
    Found 1-bit register for signal <r.start>.
    Summary:
        inferred   4 D-type flip-flop(s).
Unit <ahbmst_2> synthesized.


Synthesizing Unit <jtagcom>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/jtagcom.vhd".
WARNING:Xst:647 - Input <dmao.start> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dmao.haddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <tapo.inst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <tapo.upd> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dmao.mexc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <tapo.capt> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dmao.retry> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
    Found 35-bit register for signal <r.addr>.
    Found 1-bit register for signal <r.asel<0>>.
    Found 33-bit register for signal <r.data>.
    Found 1-bit register for signal <r.dsel<0>>.
    Found 1-bit register for signal <r.shift<0>>.
    Found 1-bit register for signal <r.shift2>.
    Found 1-bit register for signal <r.shift3>.
    Found 1-bit register for signal <r.state<0>>.
    Found 1-bit register for signal <r.tck<0>>.
    Found 1-bit register for signal <r.tck2>.
    Found 1-bit register for signal <r.tdi<0>>.
    Found 1-bit register for signal <r.tdi2>.
    Found 1-bit register for signal <r.trst<0>>.
    Found 8-bit adder for signal <v.addr_9_2$add0000> created at line 233.
    Summary:
        inferred  79 D-type flip-flop(s).
        inferred   1 Adder/Subtractor(s).
Unit <jtagcom> synthesized.


Synthesizing Unit <sdmctrl>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/memctrl/sdmctrl.vhd".
WARNING:Xst:647 - Input <apbi.psel<1:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.pwdata<11:7>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <sdmo.vhready> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <sdi.error> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <sdi.edac> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.paddr<31:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.paddr<1:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <wpo.wprothit> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <sdi.brmw> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <sdi.nhtrans<0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <sdi.merror> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <sdi.haddr<31:30>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <sdi.haddr<9:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <apbi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <r.wprothit> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.cfg.pageburst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.aload> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Using one-hot encoding for signal <r.istate>.
    Using one-hot encoding for signal <r.sdstate>.
    Using one-hot encoding for signal <r.cmstate>.
    Using one-hot encoding for signal <r.mstate>.
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <r.sdstate> of Case statement line 246 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <r.sdstate> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <r.mstate> of Case statement line 0 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <r.mstate> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
    Found 15-bit register for signal <r.address>.
    Found 1-bit register for signal <r.bdelay>.
    Found 1-bit register for signal <r.bdrive>.
    Found 1-bit register for signal <r.burst>.
    Found 1-bit register for signal <r.busy>.
    Found 1-bit register for signal <r.casn>.
    Found 3-bit register for signal <r.cfg.bsize>.
    Found 1-bit register for signal <r.cfg.casdel>.
    Found 1-bit register for signal <r.cfg.cke>.
    Found 3-bit register for signal <r.cfg.command>.
    Found 2-bit register for signal <r.cfg.csize>.
    Found 4-bit register for signal <r.cfg.ds>.
    Found 2-bit register for signal <r.cfg.mobileen>.
    Found 6-bit register for signal <r.cfg.pasr>.
    Found 3-bit register for signal <r.cfg.pmode>.
    Found 15-bit register for signal <r.cfg.refresh>.
    Found 1-bit register for signal <r.cfg.renable>.
    Found 4-bit register for signal <r.cfg.tcsr>.
    Found 3-bit register for signal <r.cfg.trfc>.
    Found 1-bit register for signal <r.cfg.trp>.
    Found 4-bit register for signal <r.cfg.txsr>.
    Found 3-bit register for signal <r.cmstate>.
    Found 8-bit register for signal <r.dqm>.
    Found 22-bit register for signal <r.haddr>.
    Found 1-bit register for signal <r.hready>.
    Found 1-bit register for signal <r.hsel>.
    Found 3-bit register for signal <r.icnt>.
    Found 3-bit subtractor for signal <r.icnt$addsub0000> created at line 273.
    Found 4-bit register for signal <r.idlecnt>.
    Found 6-bit register for signal <r.istate>.
    Found 2-bit register for signal <r.mstate>.
    Found 1-bit register for signal <r.rasn>.
    Found 15-bit register for signal <r.refresh>.
    Found 2-bit register for signal <r.sdcsn>.
    Found 19-bit register for signal <r.sdstate>.
    Found 1-bit register for signal <r.sdwen>.
    Found 3-bit register for signal <r.sref_tmpcom>.
    Found 1-bit register for signal <r.startsd>.
    Found 4-bit register for signal <r.trfc>.
    Found 13-bit 4-to-1 multiplexer for signal <raddr$mux0000> created at line 215.
    Found 1-bit 8-to-1 multiplexer for signal <res$mux0000> created at line 141.
    Found 1-bit 8-to-1 multiplexer for signal <res1$mux0000> created at line 141.
    Found 1-bit 8-to-1 multiplexer for signal <res3$mux0000> created at line 141.
    Found 3-bit comparator equal for signal <v.address_4$cmp_eq0004> created at line 379.
    Found 3-bit comparator equal for signal <v.address_4$cmp_eq0008> created at line 398.
    Found 5-bit adder for signal <v.address_9_5$add0000> created at line 233.
    Found 3-bit comparator not equal for signal <v.cfg.command_1$cmp_ne0000> created at line 609.
    Found 2-bit comparator not equal for signal <v.cfg.command_1$cmp_ne0001> created at line 606.
    Found 2-bit comparator not equal for signal <v.cfg.command_1$cmp_ne0002> created at line 603.
    Found 4-bit subtractor for signal <v.idlecnt$sub0000> created at line 273.
    Found 22-bit comparator equal for signal <v.rasn$cmp_eq0005> created at line 311.
    Found 15-bit subtractor for signal <v.refresh$sub0000> created at line 273.
    Found 4-bit subtractor for signal <v.trfc$sub0000> created at line 273.
    Summary:
        inferred 169 D-type flip-flop(s).
        inferred   5 Adder/Subtractor(s).
        inferred   6 Comparator(s).
        inferred  16 Multiplexer(s).
Unit <sdmctrl> synthesized.


Synthesizing Unit <ahbuart>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/uart/ahbuart.vhd".
Unit <ahbuart> synthesized.


Synthesizing Unit <mctrl>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/esa/memoryctrl/mctrl.vhd".
WARNING:Xst:647 - Input <ahbsi.hsel<1:15>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hmastlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hirq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.testoen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <memi.edac> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hsize<2>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hprot> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.scanen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <memi.writen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <memo.ce> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <memi.cb> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hcache> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hmbsel<3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <memi.wrn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.hmaster> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ahbsi.testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <memi.scb> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <sdmo.vhready> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <sdmo.bsel> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <sdi.merror> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <r.sd> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.readdata<31:24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.nbdrive> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <r.mcfg2.brdyen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
INFO:Xst:2117 - HDL ADVISOR - Mux Selector <r.bstate> of Case statement line 474 was re-encoded using one-hot encoding. The case statement will be optimized (default statement optimization), but this optimization may lead to design initialization problems. To ensure the design works safely, you can:
        - add an 'INIT' attribute on signal <r.bstate> (optimization is then done without any risk)
        - use the attribute 'signal_encoding user' to avoid onehot optimization
        - use the attribute 'safe_implementation yes' to force XST to perform a safe (but less efficient) optimization
    Using one-hot encoding for signal <r.bstate>.
    Found 32-bit 4-to-1 multiplexer for signal <memdata$mux0001> created at line 416.
    Found 32-bit register for signal <r.address>.
    Found 3-bit register for signal <r.area>.
    Found 4-bit register for signal <r.bdrive>.
    Found 1-bit register for signal <r.bexcn>.
    Found 1-bit register for signal <r.brdyn>.
    Found 1-bit register for signal <r.brmw>.
    Found 8-bit register for signal <r.bstate>.
    Found 2-bit register for signal <r.busw>.
    Found 32-bit register for signal <r.data>.
    Found 1-bit register for signal <r.echeck>.
    Found 32-bit register for signal <r.haddr>.
    Found 3-bit register for signal <r.hburst>.
    Found 2-bit register for signal <r.hresp>.
    Found 1-bit register for signal <r.hsel>.
    Found 2-bit register for signal <r.htrans>.
    Found 1-bit register for signal <r.hwrite>.
    Found 2-bit register for signal <r.iosn>.
    Found 4-bit register for signal <r.mben>.
    Found 1-bit register for signal <r.mcfg1.bexcen>.
    Found 1-bit register for signal <r.mcfg1.brdyen>.
    Found 1-bit register for signal <r.mcfg1.ioen>.
    Found 2-bit register for signal <r.mcfg1.iowidth>.
    Found 4-bit register for signal <r.mcfg1.iows>.
    Found 4-bit register for signal <r.mcfg1.romrws>.
    Found 2-bit register for signal <r.mcfg1.romwidth>.
    Found 1-bit register for signal <r.mcfg1.romwrite>.
    Found 4-bit register for signal <r.mcfg1.romwws>.
    Found 4-bit register for signal <r.mcfg2.rambanksz>.
    Found 2-bit register for signal <r.mcfg2.ramrws>.
    Found 2-bit register for signal <r.mcfg2.ramwidth>.
    Found 2-bit register for signal <r.mcfg2.ramwws>.
    Found 1-bit register for signal <r.mcfg2.rmw>.
    Found 1-bit register for signal <r.mcfg2.sdren>.
    Found 1-bit register for signal <r.mcfg2.srdis>.
    Found 1-bit register for signal <r.oen>.
    Found 5-bit register for signal <r.ramoen>.
    Found 5-bit register for signal <r.ramsn>.
    Found 1-bit register for signal <r.read>.
    Found 32-bit register for signal <r.readdata>.
    Found 1-bit register for signal <r.ready>.
    Found 1-bit register for signal <r.ready8>.
    Found 2-bit register for signal <r.romsn>.
    Found 15-bit register for signal <r.sa>.
    Found 1-bit register for signal <r.sdhsel>.
    Found 64-bit register for signal <r.sdwritedata>.
    Found 2-bit register for signal <r.size>.
    Found 1-bit register for signal <r.srhsel>.
    Found 32-bit register for signal <r.writedata>.
    Found 16-bit register for signal <r.writedata8>.
    Found 1-bit register for signal <r.writen>.
    Found 4-bit register for signal <r.wrn>.
    Found 4-bit register for signal <r.ws>.
    Found 32-bit register for signal <rbdrive>.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_0$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_1$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_10$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_11$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_12$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_13$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_14$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_15$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_16$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_17$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_18$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_19$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_2$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_20$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_21$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_22$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_23$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_24$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_25$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_26$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_27$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_28$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_29$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_3$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_30$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_31$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_4$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_5$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_6$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_7$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_8$mux0000> created at line 697.
    Found 1-bit 4-to-1 multiplexer for signal <regsd_9$mux0000> created at line 697.
    Found 1-bit 16-to-1 multiplexer for signal <res$mux0000> created at line 141.
    Found 1-bit 16-to-1 multiplexer for signal <res1$mux0000> created at line 141.
    Found 64-bit register for signal <rsbdrive>.
    Found 2-bit adder for signal <v.address_1_0$add0000> created at line 233.
    Found 4-bit subtractor for signal <v.ws$sub0001> created at line 273.
    Summary:
        inferred 449 D-type flip-flop(s).
        inferred   2 Adder/Subtractor(s).
        inferred  66 Multiplexer(s).
Unit <mctrl> synthesized.


Synthesizing Unit <virtex_clkpad>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
WARNING:Xst:646 - Signal <rst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ol3> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ol2> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ol> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <gnd> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <virtex_clkpad> synthesized.


Synthesizing Unit <virtex_inpad>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
Unit <virtex_inpad> synthesized.


Synthesizing Unit <clkgen_spartan3>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/clkgen_unisim.vhd".
WARNING:Xst:647 - Input <cgi.pllctrl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <cgi.clksel> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <pciclkin> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1780 - Signal <pciclkint> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <dll2xrst> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <clk_r> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <clk_p> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <clk_o> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <clk_n> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <clk_m> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <clk_l> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Found 4-bit register for signal <dll1rst>.
    Summary:
        inferred   4 D-type flip-flop(s).
Unit <clkgen_spartan3> synthesized.


Synthesizing Unit <virtex_outpad_1>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
Unit <virtex_outpad_1> synthesized.


Synthesizing Unit <regfile_3p>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/regfile_3p.vhd".
WARNING:Xst:647 - Input <testin> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Unit <regfile_3p> synthesized.


Synthesizing Unit <cache>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cache.vhd".
WARNING:Xst:647 - Input <hclk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1780 - Signal <gnd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Unit <cache> synthesized.


Synthesizing Unit <rf_stage>.
    Related source file is "../../lib/gaisler/vlog/RF_stage1.v".
WARNING:Xst:647 - Input <wb_din_i> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <INS_NO> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <CLK_NO> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS6095> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS6061> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS3237> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS3236> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Unit <rf_stage> synthesized.


Synthesizing Unit <forward>.
    Related source file is "../../lib/gaisler/vlog/forward.v".
Unit <forward> synthesized.


Synthesizing Unit <hazard_unit>.
    Related source file is "../../lib/gaisler/vlog/hazard_unit.v".
Unit <hazard_unit> synthesized.


Synthesizing Unit <mips_alu>.
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
Unit <mips_alu> synthesized.


Synthesizing Unit <pipelinedregs>.
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
WARNING:Xst:646 - Signal <dmem_ctl_o> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <pipelinedregs> synthesized.


Synthesizing Unit <virtex2_syncram_1>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd".
WARNING:Xst:646 - Signal <ya<19:9>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <xa<19:9>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <do<100:28>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <di<100:72>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <virtex2_syncram_1> synthesized.


Synthesizing Unit <virtex2_syncram_2>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd".
WARNING:Xst:646 - Signal <ya> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <xa<19:10>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <do<104:32>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <di<104:36>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <virtex2_syncram_2> synthesized.


Synthesizing Unit <virtex2_syncram_dp>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/memory_unisim.vhd".
WARNING:Xst:647 - Input <enable1> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <enable2> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <do2<60:24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <do1<60:24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <di2<60:36>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <di1<60:36>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <addr2<19:9>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <addr1<19:9>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <virtex2_syncram_dp> synthesized.


Synthesizing Unit <virtex_toutpad>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
Unit <virtex_toutpad> synthesized.


Synthesizing Unit <virtex_outpad_2>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
Unit <virtex_outpad_2> synthesized.


Synthesizing Unit <spartan3_tap>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/tap_unisim.vhd".
Unit <spartan3_tap> synthesized.


Synthesizing Unit <virtex_iopad>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/unisim/pads_unisim.vhd".
Unit <virtex_iopad> synthesized.


Synthesizing Unit <clkpad>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkpad.vhd".
Unit <clkpad> synthesized.


Synthesizing Unit <inpad>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/inpad.vhd".
Unit <inpad> synthesized.


Synthesizing Unit <clkgen>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/clkgen.vhd".
WARNING:Xst:1305 - Output <clk4x> is never assigned. Tied to value 0.
WARNING:Xst:1780 - Signal <sdintclk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <intclk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Unit <clkgen> synthesized.


Synthesizing Unit <outpad_1>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
WARNING:Xst:646 - Signal <vcc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <padx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <gnd> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <outpad_1> synthesized.


Synthesizing Unit <odpad>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/odpad.vhd".
WARNING:Xst:1780 - Signal <padx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Unit <odpad> synthesized.


Synthesizing Unit <outpad_2>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
WARNING:Xst:646 - Signal <vcc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <padx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <gnd> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <outpad_2> synthesized.


Synthesizing Unit <iopad_1>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd".
Unit <iopad_1> synthesized.


Synthesizing Unit <exec_stage>.
    Related source file is "../../lib/gaisler/vlog/EXEC_stage.v".
Unit <exec_stage> synthesized.


Synthesizing Unit <decode_pipe>.
    Related source file is "../../lib/gaisler/vlog/decode_pipe1.v".
WARNING:Xst:1780 - Signal <dmem_ctl_o> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Unit <decode_pipe> synthesized.


Synthesizing Unit <syncram_1>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd".
WARNING:Xst:647 - Input <testin> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1780 - Signal <wena> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <rena> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <gnd4> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <syncram_1> synthesized.


Synthesizing Unit <syncram_2>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram.vhd".
WARNING:Xst:647 - Input <testin> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1780 - Signal <wena> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <rena> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <gnd4> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <syncram_2> synthesized.


Synthesizing Unit <syncram_dp>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/syncram_dp.vhd".
WARNING:Xst:647 - Input <testin> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
Unit <syncram_dp> synthesized.


Synthesizing Unit <tap>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/tap.vhd".
WARNING:Xst:647 - Input <tck> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <tdi> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <tdo> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <testrst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <tms> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <tapi_tdo2> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <tapi_en1> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <testen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <tdoen> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <trst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <tapo_inst> is never assigned. Tied to value 00000000.
WARNING:Xst:1780 - Signal <tckn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ltckn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ltck> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Unit <tap> synthesized.


Synthesizing Unit <outpad_3>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
WARNING:Xst:646 - Signal <vcc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <padx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <gnd> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <outpad_3> synthesized.


Synthesizing Unit <iopad_2>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd".
Unit <iopad_2> synthesized.


Synthesizing Unit <ahbjtag>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/jtag/ahbjtag.vhd".
Unit <ahbjtag> synthesized.


Synthesizing Unit <outpadv_1>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
Unit <outpadv_1> synthesized.


Synthesizing Unit <outpadv_2>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
Unit <outpadv_2> synthesized.


Synthesizing Unit <outpadv_3>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
Unit <outpadv_3> synthesized.


Synthesizing Unit <outpadv_4>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
Unit <outpadv_4> synthesized.


Synthesizing Unit <iopadv>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/iopad.vhd".
Unit <iopadv> synthesized.


Synthesizing Unit <outpadv_5>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/techmap/maps/outpad.vhd".
Unit <outpadv_5> synthesized.


Synthesizing Unit <cachemem>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/cachemem.vhd".
WARNING:Xst:647 - Input <crami.dcramin.ptag<0><11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ptag<1><11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ptag<2><11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ptag<3><11:0>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.ldramin.write> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.dpar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tpar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ldramin.read> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.ldramin.enable> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.ctx> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.ldramin.read> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.flush> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.address<19:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ldramin.address<23:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.saddress<19:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tag<0><11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tag<0><8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tag<1><11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tag<1><8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tag<2><11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tag<2><8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tag<3><11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tag<3><8:4>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.tpar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.spar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ldramin.write> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.tdiag> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ctx> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.dpar> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.address<19:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ldramin.enable> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.tag<0><11:10>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.tag<0><8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.icramin.tag<1:3>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.ddiag> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <crami.dcramin.stag> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1780 - Signal <vitdatain<0>> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1781 - Signal <vitdatain<1:3>> is used but never assigned. Tied to default value.
WARNING:Xst:646 - Signal <vcc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <lddatain> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ldataout> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ldaddr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <itwrite<1:3>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <itdataout<1:3>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <itdatain<0><28>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <itdatain<1:3>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ildataout> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ildaddr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <idwrite<1:3>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <iddataout<1:3>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <gnd> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtwrite3> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <dtdataout3> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatainu> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain3> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain2<0><24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain2<1><24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain2<2><24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain2<3><24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain<0><24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain<1><24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain<2><24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dtdatain<3><24>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <cachemem> synthesized.


Synthesizing Unit <mips_core>.
    Related source file is "../../lib/gaisler/vlog/core1.v".
WARNING:Xst:1780 - Signal <NET1375> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS9884> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS7772> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS27031> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS22401> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS18211> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <BUS15471> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Unit <mips_core> synthesized.


Synthesizing Unit <top>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/top.vhd".
WARNING:Xst:1305 - Output <asi> is never assigned. Tied to value 00000000.
WARNING:Xst:1780 - Signal <zz_pc> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
Unit <top> synthesized.


Synthesizing Unit <proc3>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/proc3.vhd".
WARNING:Xst:1305 - Output <cpi.e.trap> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.m.annul> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.m.cnt> is never assigned. Tied to value 00.
WARNING:Xst:647 - Input <cpo.exc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <tbo.data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.x.cnt> is never assigned. Tied to value 00.
WARNING:Xst:647 - Input <dbgi.berror> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dbgi.ddata> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dbgi.dsuen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <fpo.dbg.data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <dbgo.ipend> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.m.cnt> is never assigned. Tied to value 00.
WARNING:Xst:1305 - Output <cpi.d.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:647 - Input <cpo.cc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.d.annul> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <dbgi.reset> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.dbg.data> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:647 - Input <dbgi.step> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.m.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <fpi.d.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <cpi.a.annul> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.exack> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.dbg.fsr> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <tbi.addr> is never assigned. Tied to value 000000000000.
WARNING:Xst:1305 - Output <cpi.e.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <dbgo.halt> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.x.trap> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <fpo.data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.d.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.a.trap> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <dbgi.timer> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dbgi.dbreak> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.dbg.enable> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <irqo.irl> is never assigned. Tied to value 0000.
WARNING:Xst:1305 - Output <fpi.a.cnt> is never assigned. Tied to value 00.
WARNING:Xst:1305 - Output <cpi.lddata> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:647 - Input <irqi.rstvec> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dbgi.bsoft> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <cpo.dbg.data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.exack> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <dbgo.dsumode> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <fpo.cc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.e.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <cpi.dbg.data> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <cpi.a.cnt> is never assigned. Tied to value 00.
WARNING:Xst:1305 - Output <fpi.e.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.m.annul> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <irqi.irl> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.d.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <fpi.d.trap> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.x.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <dbgo.dsu> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <tbi.write> is never assigned. Tied to value 0000.
WARNING:Xst:1305 - Output <fpi.a.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:647 - Input <cpo.data> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.d.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.dbg.enable> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.x.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <dbgo.idle> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <dbgo.icnt> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.x.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.e.annul> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.e.trap> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <cpo.ldlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.m.trap> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <irqo.pwd> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.d.annul> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.e.cnt> is never assigned. Tied to value 00.
WARNING:Xst:1305 - Output <cpi.x.annul> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <irqo.intack> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <dbgi.dwrite> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <cpo.holdn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.a.annul> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.e.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <dbgo.data> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <cpi.e.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.d.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <cpi.e.cnt> is never assigned. Tied to value 00.
WARNING:Xst:647 - Input <dbgi.daddr> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dbgi.denable> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <dbgo.error> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.m.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <cpi.x.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <tbi.data> is never assigned. Tied to value 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000.
WARNING:Xst:1305 - Output <fpi.m.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.e.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <fpi.x.trap> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.x.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.dbg.addr> is never assigned. Tied to value 00000.
WARNING:Xst:647 - Input <irqi.rst> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dbgi.btrapa> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <irqi.run> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.a.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:647 - Input <dbgi.btrape> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.d.cnt> is never assigned. Tied to value 00.
WARNING:Xst:1305 - Output <fpi.a_rs1> is never assigned. Tied to value 00000.
WARNING:Xst:1305 - Output <cpi.m.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:647 - Input <dbgi.tenable> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.a.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.dbg.write> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.a.trap> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.lddata> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:647 - Input <fpo.ccv> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.flush> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <dbgo.pwd> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.d.cnt> is never assigned. Tied to value 00.
WARNING:Xst:1305 - Output <cpi.a_rs1> is never assigned. Tied to value 00000.
WARNING:Xst:1305 - Output <tbi.diag> is never assigned. Tied to value 0000.
WARNING:Xst:647 - Input <dbgi.halt> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.dbg.fsr> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.e.annul> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <cpo.ccv> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.m.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <fpi.dbg.write> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.dbg.addr> is never assigned. Tied to value 00000.
WARNING:Xst:1305 - Output <fpi.x.annul> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <fpi.x.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <cpi.m.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <dbgo.crdy> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <rfi.diag> is never assigned. Tied to value 0000.
WARNING:Xst:647 - Input <dbgi.bwatch> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <cpi.flush> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.a.pc> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <cpi.d.trap> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.a.pv> is never assigned. Tied to value 0.
WARNING:Xst:1305 - Output <cpi.a.inst> is never assigned. Tied to value 00000000000000000000000000000000.
WARNING:Xst:1305 - Output <fpi.x.cnt> is never assigned. Tied to value 00.
WARNING:Xst:1305 - Output <tbi.enable> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <fpo.ldlock> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1305 - Output <fpi.m.trap> is never assigned. Tied to value 0.
WARNING:Xst:647 - Input <fpo.exc> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:646 - Signal <mulo.result> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <mulo.ready> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <mulo.nready> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <mulo.icc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <muli.start> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <muli.signed> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <muli.op2> is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
WARNING:Xst:653 - Signal <muli.op1> is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
WARNING:Xst:653 - Signal <muli.mac> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <muli.flush> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <muli.acc> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000000000000000000000.
WARNING:Xst:646 - Signal <ico.mexc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ico.idle> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ico.flush> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ico.diagrdy> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ico.diagdata> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ico.cfg> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <ici.su> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ici.pnull> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ici.dpc> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:646 - Signal <divo.result> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <divo.ready> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <divo.nready> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <divo.icc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <divi.y> is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
WARNING:Xst:653 - Signal <divi.start> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <divi.signed> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <divi.op2> is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
WARNING:Xst:653 - Signal <divi.op1> is used but never assigned. This sourceless signal will be automatically connected to value 000000000000000000000000000000000.
WARNING:Xst:653 - Signal <divi.flush> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <dco.werr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.testen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.scanen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.mexc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.idle> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.tag> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.scanen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.read> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.pflushtyp> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.pflushaddr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.pflush> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.ilramen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.ilock> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.flush> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.enable> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.ctx> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.cctrl.ifrz> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.cctrl.ics> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.cctrl.dsnoop> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.cctrl.dfrz> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.cctrl.dcs> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.cctrl.burst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.icdiag.addr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dco.cache> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
Unit <proc3> synthesized.


Synthesizing Unit <leon3s>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/lib/gaisler/leon3/leon3s.vhd".
WARNING:Xst:1780 - Signal <wd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <tbo.data> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000.
WARNING:Xst:646 - Signal <tbi.write> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <tbi.enable> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <tbi.diag> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <tbi.data> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <tbi.addr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <rd2> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <rd1> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <holdn> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <fpo.exc> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <fpo.dbg.data> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <fpo.data> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <fpo.cc> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:646 - Signal <fpi.x.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.x.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.x.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.x.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.x.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.x.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.m.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.m.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.m.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.m.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.m.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.m.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.lddata> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.flush> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.exack> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.e.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.e.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.e.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.e.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.e.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.e.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.dbg.write> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.dbg.fsr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.dbg.enable> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.dbg.data> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.dbg.addr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.d.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.d.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.d.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.d.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.d.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.d.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.a_rs1> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.a.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.a.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.a.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.a.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.a.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <fpi.a.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.x.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.x.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.x.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.x.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.x.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.x.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.m.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.m.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.m.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.m.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.m.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.m.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.lddata> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.flush> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.exack> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.e.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.e.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.e.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.e.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.e.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.e.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.dbg.write> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.dbg.fsr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.dbg.enable> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.dbg.data> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.dbg.addr> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.d.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.d.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.d.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.d.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.d.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.d.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.a_rs1> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.a.trap> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.a.pv> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.a.pc> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.a.inst> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.a.cnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <cpi.a.annul> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
    Found 1-bit register for signal <rst>.
    Summary:
        inferred   1 D-type flip-flop(s).
Unit <leon3s> synthesized.


Synthesizing Unit <leon3mp>.
    Related source file is "C:/grlib-gpl-1.0.19-b3188/designs/leon3-gr-xc3s-1500/leon3mp.vhd".
WARNING:Xst:2565 - Inout <usb_d(4)> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(5)> is never assigned.
WARNING:Xst:647 - Input <usb_txready> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <usb_d(6)> is never assigned.
WARNING:Xst:647 - Input <ata_intrq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <usb_d(7)> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(10)> is never assigned.
WARNING:Xst:647 - Input <usb_vbus> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1306 - Output <ata_dior> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(8)> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(11)> is never assigned.
WARNING:Xst:1306 - Output <ata_diow> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(9)> is never assigned.
WARNING:Xst:1306 - Output <spw_txsn> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(12)> is never assigned.
WARNING:Xst:647 - Input <ata_iordy> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <dsuen> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1306 - Output <spw_txsp> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(0)> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(13)> is never assigned.
WARNING:Xst:647 - Input <spw_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1306 - Output <etxd> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(1)> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(14)> is never assigned.
WARNING:Xst:2565 - Inout <pio(10)> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(2)> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(15)> is never assigned.
WARNING:Xst:2565 - Inout <pio(11)> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(3)> is never assigned.
WARNING:Xst:647 - Input <usb_linestate> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <pio(12)> is never assigned.
WARNING:Xst:647 - Input <erx_dv> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <ata_data(4)> is never assigned.
WARNING:Xst:647 - Input <erx_er> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <pio(13)> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(5)> is never assigned.
WARNING:Xst:2565 - Inout <pio(14)> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(6)> is never assigned.
WARNING:Xst:2565 - Inout <pio(15)> is never assigned.
WARNING:Xst:647 - Input <usb_clkout> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <ata_data(7)> is never assigned.
WARNING:Xst:1306 - Output <ata_dmack> is never assigned.
WARNING:Xst:2565 - Inout <pio(16)> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(8)> is never assigned.
WARNING:Xst:1306 - Output <usb_opmode> is never assigned.
WARNING:Xst:2565 - Inout <pio(17)> is never assigned.
WARNING:Xst:1306 - Output <usb_reset> is never assigned.
WARNING:Xst:647 - Input <erx_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <ctsn2> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <ata_data(9)> is never assigned.
WARNING:Xst:2565 - Inout <emdio> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(10)> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(11)> is never assigned.
WARNING:Xst:1306 - Output <dsuact> is never assigned.
WARNING:Xst:647 - Input <spw_rxdn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1306 - Output <ata_csel> is never assigned.
WARNING:Xst:647 - Input <erx_col> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <ata_data(12)> is never assigned.
WARNING:Xst:647 - Input <spw_rxdp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <ata_data(13)> is never assigned.
WARNING:Xst:1306 - Output <ata_cs0> is never assigned.
WARNING:Xst:1306 - Output <ata_cs1> is never assigned.
WARNING:Xst:1306 - Output <usb_xcvrsel> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(14)> is never assigned.
WARNING:Xst:2565 - Inout <pio(0)> is never assigned.
WARNING:Xst:1306 - Output <usb_suspend> is never assigned.
WARNING:Xst:2565 - Inout <ata_data(15)> is never assigned.
WARNING:Xst:2565 - Inout <pio(1)> is never assigned.
WARNING:Xst:1306 - Output <ata_rstn> is never assigned.
WARNING:Xst:2565 - Inout <pio(2)> is never assigned.
WARNING:Xst:647 - Input <erx_crs> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <pio(3)> is never assigned.
WARNING:Xst:647 - Input <usb_rxactive> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <pio(4)> is never assigned.
WARNING:Xst:2565 - Inout <pio(5)> is never assigned.
WARNING:Xst:2565 - Inout <pio(6)> is never assigned.
WARNING:Xst:2565 - Inout <pio(7)> is never assigned.
WARNING:Xst:1306 - Output <etx_en> is never assigned.
WARNING:Xst:2565 - Inout <pio(8)> is never assigned.
WARNING:Xst:2565 - Inout <usb_validh> is never assigned.
WARNING:Xst:1306 - Output <etx_er> is never assigned.
WARNING:Xst:1306 - Output <emdc> is never assigned.
WARNING:Xst:2565 - Inout <pio(9)> is never assigned.
WARNING:Xst:1306 - Output <spw_txdn> is never assigned.
WARNING:Xst:647 - Input <etx_clk> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1306 - Output <spw_txdp> is never assigned.
WARNING:Xst:647 - Input <ata_dmarq> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <spw_rxsn> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1306 - Output <usb_txvalid> is never assigned.
WARNING:Xst:647 - Input <spw_rxsp> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:1306 - Output <usb_termsel> is never assigned.
WARNING:Xst:647 - Input <dsubre> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:647 - Input <usb_rxerror> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <usb_d(0)> is never assigned.
WARNING:Xst:647 - Input <erxd> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <usb_d(1)> is never assigned.
WARNING:Xst:647 - Input <usb_rxvalid> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved.
WARNING:Xst:2565 - Inout <usb_d(2)> is never assigned.
WARNING:Xst:1306 - Output <ata_da> is never assigned.
WARNING:Xst:2565 - Inout <usb_d(3)> is never assigned.
WARNING:Xst:653 - Signal <wpo.wprothit> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:1780 - Signal <wdogl> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <vcc<4:1>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.xcvrselect> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.txvalidh> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.txvalid> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.txbitstuffenableh> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.txbitstuffenable> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.tx_se0> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.tx_enable_n> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.tx_dat> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.termselect> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.suspendm> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.stp> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.reset> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.opmode> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.oen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.idpullup> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.fslsserialmode> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.drvvbus> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.dppulldown> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.dmpulldown> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.dischrgvbus> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.dataout> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.databus16_8> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbo.chrgvbus> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.vbusvalid> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.txready> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.rxvalidh> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.rxvalid> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.rxerror> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.rxactive> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.nxt> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.linestate> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.hostdisconnect> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.dir> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <usbi.datain> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <uclk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2o.txen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2o.txd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2o.scaler> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2o.rxen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2o.rtsn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2o.flow> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2i.rxd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2i.extclk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <u2i.ctsn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <u1o.txen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <u1o.scaler> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <u1o.rxen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <u1o.flow> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <tms> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <tdo> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <tdi> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:1780 - Signal <tckn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <tck> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:1780 - Signal <stati.cerror> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(2).tickout> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(2).s> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(2).linkdis> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(2).d> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(1).tickout> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(1).s> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(1).linkdis> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(1).d> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(0).tickout> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(0).s> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(0).linkdis> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwo(0).d> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(2).timerrstval> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(2).tickin> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(2).s> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(2).rmapen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(2).dcrstval> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(2).d> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(2).clkdiv10> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(1).timerrstval> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(1).tickin> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(1).s> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(1).rmapen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(1).dcrstval> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(1).d> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(1).clkdiv10> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(0).timerrstval> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(0).tickin> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(0).s> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(0).rmapen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(0).dcrstval> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(0).d> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spwi(0).clkdiv10> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <spw_clkl> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.vbdrive> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.sdwen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.sdcsn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.sdcke> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.sdck> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.rasn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.qdrive> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.odt> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.moben> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.dqm> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.data> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.conf> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.ce> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.cb> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.casn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.cal_rst> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.cal_pll> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.cal_inc> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.cal_en> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.bdrive> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.ba> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo3.address> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.vbdrive> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.sdwen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.sdcsn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.sdcke> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.sdck> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.rasn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.qdrive> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.odt> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.moben> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.dqm> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.data> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.conf> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.ce> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.cb> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.casn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.cal_rst> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.cal_pll> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.cal_inc> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.cal_en> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.bdrive> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.ba> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdo2.address> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <sdo.sdcke> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <sdo.dqm<7:4>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdi.wprot> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdi.data> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <sdi.cb> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ndsuact> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <moui.ps2_data_i> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <moui.ps2_clk_i> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.vcdrive> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.vbdrive> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.svcdrive> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.svbdrive> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.sddata> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.scb> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.sa> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.romsn<7:2>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.romn> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.ramsn<7:5>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.ramoen<7:5>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.ramn> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.mben> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.ce> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.cb> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <memo.address<31:28>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <memi.sd> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000000000000000000000000000000000000000000000.
WARNING:Xst:653 - Signal <memi.scb> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <memi.edac> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <memi.cb> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:646 - Signal <kbdi.ps2_data_i> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <kbdi.ps2_clk_i> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.rstn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.oen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.dmack> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.diow> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.dior> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.ddo> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.da> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.cs1> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ideo.cs0> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <idei.iordy> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <idei.intrq> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <idei.dmarq> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <idei.ddi> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpto.wdogn> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpto.wdog> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpto.timer1> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpto.tick> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpti.extclk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpti.dhalt> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpioo.val> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpioo.sig_out> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpioo.oen> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpioo.dout> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpioi.sig_in> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpioi.sig_en> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <gpioi.din> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <gnd<4:1>> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho2.txd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho2.tx_er> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho2.tx_en> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho2.reset> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho2.mdio_oe> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho2.mdio_o> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho2.mdc> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho1.txd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho1.tx_er> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho1.tx_en> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho1.reset> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho1.mdio_oe> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho1.mdio_o> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho1.mdc> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho.txd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho.tx_er> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho.tx_en> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho.reset> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho.mdio_oe> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho.mdio_o> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <etho.mdc> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.tx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.rxd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.rx_er> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.rx_dv> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.rx_crs> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.rx_col> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.rx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.rmii_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.phyrstaddr> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.mdio_i> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.gtx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi2.edcladdr> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.tx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.rxd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.rx_er> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.rx_dv> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.rx_crs> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.rx_col> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.rx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.rmii_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.phyrstaddr> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.mdio_i> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.gtx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi1.edcladdr> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.tx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.rxd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.rx_er> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.rx_dv> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.rx_crs> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.rx_col> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.rx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.rmii_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.phyrstaddr> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.mdio_i> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.gtx_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <ethi.edcladdr> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <ethclk> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <duo.txen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <duo.scaler> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <duo.rxen> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <duo.rtsn> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <duo.flow> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <dui.extclk> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dui.ctsn> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:646 - Signal <dsuo.tstop> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <dsuo.pwd> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dsuo.active> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <dsui.enable> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <dsui.break> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).pwd> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).ipend> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).idle> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).icnt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).halt> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).dsumode> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).dsu> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).data> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:646 - Signal <dbgo(0).crdy> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <dbgi(0).timer> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000000000000.
WARNING:Xst:653 - Signal <dbgi(0).tenable> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).step> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).reset> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).halt> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).dwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).dsuen> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).denable> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).ddata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <dbgi(0).dbreak> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).daddr> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000000000.
WARNING:Xst:653 - Signal <dbgi(0).bwatch> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).btrape> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).btrapa> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).bsoft> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <dbgi(0).berror> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:1780 - Signal <dac_clk> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <clk_sel> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1580 - Signal <clk50> with a "KEEP" property is assigned but never used. Related logic will not be removed.
WARNING:Xst:646 - Signal <cgo.pcilock> is assigned but never used. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <cgi.clksel> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:1780 - Signal <can_ltx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:1780 - Signal <can_lrx> is never used or assigned. This unconnected signal will be trimmed during the optimization process.
WARNING:Xst:653 - Signal <apbo(8).prdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(8).pirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(8).pindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <apbo(8).pconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <apbo(15).prdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(15).pirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(15).pindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <apbo(15).pconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <apbo(14).prdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(14).pirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(14).pindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <apbo(14).pconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <apbo(13).prdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(13).pirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(13).pindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <apbo(13).pconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <apbo(12).prdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(12).pirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(12).pindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <apbo(12).pconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <apbo(11).prdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(11).pirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(11).pindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <apbo(11).pconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <apbo(10).prdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(10).pirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <apbo(10).pindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <apbo(10).pconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(9).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(9).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(9).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(9).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(9).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(9).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(9).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(9).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(8).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(8).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(8).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(8).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(8).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(8).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(8).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(8).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(7).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(7).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(7).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(7).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(7).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(7).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(7).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(7).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(6).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(6).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(6).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(6).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(6).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(6).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(6).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(6).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(5).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(5).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(5).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(5).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(5).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(5).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(5).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(5).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(4).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(4).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(4).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(4).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(4).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(4).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(4).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(4).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(3).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(3).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(3).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(3).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(3).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(3).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(3).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(3).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(2).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(2).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(2).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(2).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(2).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(2).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(2).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(2).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(15).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(15).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(15).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(15).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(15).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(15).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(15).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(15).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(14).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(14).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(14).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(14).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(14).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(14).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(14).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(14).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(13).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(13).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(13).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(13).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(13).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(13).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(13).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(13).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(12).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(12).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(12).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(12).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(12).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(12).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(12).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(12).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(11).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(11).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(11).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(11).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(11).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(11).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(11).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(11).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbso(10).hsplit> is used but never assigned. This sourceless signal will be automatically connected to value 0000000000000000.
WARNING:Xst:653 - Signal <ahbso(10).hresp> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbso(10).hready> is used but never assigned. This sourceless signal will be automatically connected to value 1.
WARNING:Xst:653 - Signal <ahbso(10).hrdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(10).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbso(10).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbso(10).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbso(10).hcache> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(9).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(9).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(9).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(9).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(9).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(9).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(9).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(9).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(9).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(9).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(9).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(9).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(8).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(8).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(8).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(8).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(8).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(8).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(8).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(8).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(8).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(8).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(8).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(8).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(7).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(7).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(7).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(7).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(7).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(7).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(7).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(7).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(7).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(7).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(7).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(7).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(6).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(6).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(6).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(6).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(6).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(6).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(6).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(6).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(6).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(6).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(6).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(6).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(5).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(5).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(5).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(5).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(5).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(5).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(5).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(5).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(5).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(5).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(5).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(5).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(4).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(4).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(4).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(4).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(4).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(4).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(4).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(4).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(4).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(4).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(4).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(4).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(3).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(3).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(3).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(3).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(3).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(3).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(3).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(3).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(3).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(3).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(3).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(3).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(15).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(15).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(15).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(15).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(15).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(15).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(15).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(15).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(15).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(15).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(15).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(15).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(14).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(14).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(14).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(14).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(14).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(14).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(14).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(14).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(14).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(14).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(14).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(14).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(13).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(13).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(13).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(13).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(13).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(13).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(13).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(13).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(13).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(13).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(13).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(13).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(12).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(12).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(12).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(12).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(12).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(12).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(12).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(12).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(12).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(12).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(12).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(12).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(11).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(11).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(11).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(11).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(11).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(11).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(11).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(11).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(11).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(11).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(11).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(11).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(10).hwrite> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(10).hwdata> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(10).htrans> is used but never assigned. This sourceless signal will be automatically connected to value 00.
WARNING:Xst:653 - Signal <ahbmo(10).hsize> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(10).hprot> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:653 - Signal <ahbmo(10).hlock> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(10).hirq> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
WARNING:Xst:653 - Signal <ahbmo(10).hindex> is used but never assigned. This sourceless signal will be automatically connected to value 0000.
WARNING:Xst:1781 - Signal <ahbmo(10).hconfig> is used but never assigned. Tied to default value.
WARNING:Xst:653 - Signal <ahbmo(10).hbusreq> is used but never assigned. This sourceless signal will be automatically connected to value 0.
WARNING:Xst:653 - Signal <ahbmo(10).hburst> is used but never assigned. This sourceless signal will be automatically connected to value 000.
WARNING:Xst:653 - Signal <ahbmo(10).haddr> is used but never assigned. This sourceless signal will be automatically connected to value 00000000000000000000000000000000.
Unit <leon3mp> synthesized.

INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing.
WARNING:Xst:524 - All outputs of the instance <CAL_CPI> of the block <cal_cpi> are unconnected in block <rf_stage>.
   This instance will be removed from the design along with all underlying logic
WARNING:Xst:524 - All outputs of the instance <U9> of the block <dmem_ctl_reg> are unconnected in block <pipelinedregs>.
   This instance will be removed from the design along with all underlying logic

=========================================================================
HDL Synthesis Report

Macro Statistics
# RAMs                                                 : 2
 32x32-bit dual-port RAM                               : 2
# ROMs                                                 : 4
 16x3-bit ROM                                          : 2
 32x20-bit ROM                                         : 1
 4x1-bit ROM                                           : 1
# Multipliers                                          : 1
 33x33-bit multiplier                                  : 1
# Adders/Subtractors                                   : 49
 12-bit subtractor                                     : 1
 15-bit subtractor                                     : 1
 18-bit addsub                                         : 1
 2-bit adder                                           : 6
 3-bit adder                                           : 10
 3-bit subtractor                                      : 3
 30-bit adder                                          : 1
 32-bit adder                                          : 5
 33-bit adder                                          : 11
 33-bit subtractor                                     : 1
 4-bit subtractor                                      : 3
 5-bit adder                                           : 2
 6-bit subtractor                                      : 1
 7-bit adder                                           : 1
 8-bit adder                                           : 2
# Counters                                             : 6
 2-bit up counter                                      : 2
 6-bit up counter                                      : 2
 7-bit up counter                                      : 1
 8-bit up counter                                      : 1
# Registers                                            : 702
 1-bit register                                        : 255
 11-bit register                                       : 2
 12-bit register                                       : 2
 14-bit register                                       : 1
 15-bit register                                       : 8
 16-bit register                                       : 1
 18-bit register                                       : 2
 19-bit register                                       : 1
 2-bit register                                        : 40
 20-bit register                                       : 1
 22-bit register                                       : 1
 28-bit register                                       : 1
 3-bit register                                        : 22
 30-bit register                                       : 1
 32-bit register                                       : 28
 33-bit register                                       : 2
 35-bit register                                       : 1
 4-bit register                                        : 25
 5-bit register                                        : 279
 6-bit register                                        : 6
 64-bit register                                       : 3
 65-bit register                                       : 1
 66-bit register                                       : 1
 8-bit register                                        : 18
# Latches                                              : 2
 1-bit latch                                           : 1
 2-bit latch                                           : 1
# Comparators                                          : 150
 12-bit comparator equal                               : 107
 14-bit comparator equal                               : 1
 14-bit comparator greater                             : 1
 14-bit comparator lessequal                           : 1
 14-bit comparator not equal                           : 1
 2-bit comparator equal                                : 1
 2-bit comparator greatequal                           : 3
 2-bit comparator not equal                            : 2
 20-bit comparator equal                               : 9
 22-bit comparator equal                               : 1
 28-bit comparator equal                               : 1
 3-bit comparator equal                                : 2
 3-bit comparator not equal                            : 1
 32-bit comparator equal                               : 1
 32-bit comparator less                                : 1
 32-bit comparator not equal                           : 1
 33-bit comparator equal                               : 2
 5-bit comparator equal                                : 12
 7-bit comparator equal                                : 1
 8-bit comparator equal                                : 1
# Multiplexers                                         : 204
 1-bit 16-to-1 multiplexer                             : 2
 1-bit 4-to-1 multiplexer                              : 160
 1-bit 8-to-1 multiplexer                              : 4
 13-bit 4-to-1 multiplexer                             : 1
 2-bit 4-to-1 multiplexer                              : 1
 3-bit 4-to-1 multiplexer                              : 4
 32-bit 16-to-1 multiplexer                            : 2
 32-bit 4-to-1 multiplexer                             : 13
 32-bit 8-to-1 multiplexer                             : 10
 4-bit 4-to-1 multiplexer                              : 1
 5-bit 256-to-1 multiplexer                            : 2
 5-bit 4-to-1 multiplexer                              : 2
 8-bit 4-to-1 multiplexer                              : 2
# Xors                                                 : 12
 1-bit xor2                                            : 11
 32-bit xor2                                           : 1

=========================================================================

=========================================================================
*                       Advanced HDL Synthesis                          *
=========================================================================

WARNING:Xst:1290 - Hierarchical block <mgen.mgen3.mul0> is unconnected in block <p0>.
   It will be removed from the design.
WARNING:Xst:1290 - Hierarchical block <mgen.div0> is unconnected in block <p0>.
   It will be removed from the design.
INFO:Xst:2261 - The FF/Latch <r.hslave_1> in Unit <ahb0> is equivalent to the following FF/Latch, which will be removed : <r.hslave_2> 
INFO:Xst:2261 - The FF/Latch <op2_reged_32> in Unit <muldiv_ff> is equivalent to the following FF/Latch, which will be removed : <op2_sign_reged> 
INFO:Xst:2261 - The FF/Latch <dmem_ctl_o_0> in Unit <U3> is equivalent to the following FF/Latch, which will be removed : <dmem_ctl_o_4> 
INFO:Xst:2261 - The FF/Latch <r.dsuset_0> in Unit <dcache0> is equivalent to the following FF/Latch, which will be removed : <r.dsuset_1> 
WARNING:Xst:1426 - The value init of the FF/Latch 0 hinder the constant cleaning in the block 0.
   You should achieve better results by setting this init to 0.
WARNING:Xst:1710 - FF/Latch <r.cfg.txsr_3> (without init value) has a constant value of 1 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.pasr_0> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.pasr_1> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.pasr_2> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.pasr_3> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.pasr_4> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.pasr_5> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.pmode_2> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.mobileen_0> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.mobileen_1> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.ds_0> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.ds_1> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.ds_2> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.ds_3> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.tcsr_0> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.tcsr_1> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.tcsr_2> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.tcsr_3> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.bdelay> (without init value) has a constant value of 0 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.hresp_1> (without init value) has a constant value of 0 in block <mctrl0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.extclk> (without init value) has a constant value of 0 in block <ua1.uart1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.hslave_1> (without init value) has a constant value of 0 in block <ahb0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <CurrState_4> (without init value) has a constant value of 0 in block <MAIN_FSM>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <dmem_ctl_o_0> (without init value) has a constant value of 0 in block <U15>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <dmem_ctl_o_4> (without init value) has a constant value of 0 in block <U15>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <dmem_ctl_o_0> (without init value) has a constant value of 0 in block <U3>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r4_o_0> (without init value) has a constant value of 0 in block <reg_asi_pass1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r4_o_1> (without init value) has a constant value of 1 in block <reg_asi_pass1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r4_o_2> (without init value) has a constant value of 0 in block <reg_asi_pass1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r4_o_4> (without init value) has a constant value of 0 in block <reg_asi_pass1>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r4_o_0> (without init value) has a constant value of 0 in block <reg_asi_pass2>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r4_o_1> (without init value) has a constant value of 1 in block <reg_asi_pass2>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r4_o_2> (without init value) has a constant value of 0 in block <reg_asi_pass2>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r4_o_4> (without init value) has a constant value of 0 in block <reg_asi_pass2>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.asi_0> (without init value) has a constant value of 0 in block <dcache0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.asi_2> (without init value) has a constant value of 0 in block <dcache0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.dsuset_0> (without init value) has a constant value of 0 in block <dcache0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.retry> (without init value) has a constant value of 0 in block <a0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.retry> (without init value) has a constant value of 0 in block <ahbmst0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.retry> (without init value) has a constant value of 0 in block <ahbmst0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.txsr_0> (without init value) has a constant value of 1 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.txsr_1> (without init value) has a constant value of 1 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.txsr_2> (without init value) has a constant value of 1 in block <sd0.sdctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <r.haddr_11> of sequential type is unconnected in block <ahb0>.
WARNING:Xst:2677 - Node <r.haddr_12> of sequential type is unconnected in block <ahb0>.
WARNING:Xst:2677 - Node <r.haddr_13> of sequential type is unconnected in block <ahb0>.
WARNING:Xst:2677 - Node <r.haddr_14> of sequential type is unconnected in block <ahb0>.
WARNING:Xst:2677 - Node <r.haddr_15> of sequential type is unconnected in block <ahb0>.
WARNING:Xst:2677 - Node <r32_o_26> of sequential type is unconnected in block <ins_reg>.
WARNING:Xst:2677 - Node <r32_o_27> of sequential type is unconnected in block <ins_reg>.
WARNING:Xst:2677 - Node <r32_o_28> of sequential type is unconnected in block <ins_reg>.
WARNING:Xst:2677 - Node <r32_o_29> of sequential type is unconnected in block <ins_reg>.
WARNING:Xst:2677 - Node <r32_o_30> of sequential type is unconnected in block <ins_reg>.
WARNING:Xst:2677 - Node <r32_o_31> of sequential type is unconnected in block <ins_reg>.
WARNING:Xst:2677 - Node <r.asi_1> of sequential type is unconnected in block <dcache0>.
WARNING:Xst:2677 - Node <r.dqm_4> of sequential type is unconnected in block <sd0.sdctrl>.
WARNING:Xst:2677 - Node <r.dqm_5> of sequential type is unconnected in block <sd0.sdctrl>.
WARNING:Xst:2677 - Node <r.dqm_6> of sequential type is unconnected in block <sd0.sdctrl>.
WARNING:Xst:2677 - Node <r.dqm_7> of sequential type is unconnected in block <sd0.sdctrl>.
WARNING:Xst:2677 - Node <r.address_28> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.address_29> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.address_30> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.address_31> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.readdata_24> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.readdata_25> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.readdata_26> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.readdata_27> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.readdata_28> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.readdata_29> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.readdata_30> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.readdata_31> of sequential type is unconnected in block <mctrl0>.
WARNING:Xst:2677 - Node <r.state_2> of sequential type is unconnected in block <apb0>.
WARNING:Xst:2677 - Node <r.haddr_0> of sequential type is unconnected in block <apb0>.
WARNING:Xst:2677 - Node <r.haddr_1> of sequential type is unconnected in block <apb0>.
WARNING:Xst:1710 - FF/Latch <riack> (without init value) has a constant value of 0 in block <MAIN_FSM>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.dstate_4> (without init value) has a constant value of 0 in block <dcache0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.icenable> (without init value) has a constant value of 0 in block <dcache0>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <r.diagrdy> of sequential type is unconnected in block <icache0>.
WARNING:Xst:2404 -  FFs/Latches <r.dsuset<1:0>> (without init value) have a constant value of 0 in block <dcache>.

Synthesizing (advanced) Unit <generic_regfile_3p>.
INFO:Xst - The RAM <Mram_memarr_ren> will be implemented as a BLOCK RAM, absorbing the following register(s): <ra2>
    -----------------------------------------------------------------------
    | ram_type           | Block                               |          |
    -----------------------------------------------------------------------
    | Port A                                                              |
    |     aspect ratio   | 32-word x 32-bit                    |          |
    |     mode           | write-first                         |          |
    |     clkA           | connected to signal <wclk>          | rise     |
    |     weA            | connected to signal <wr>            | high     |
    |     addrA          | connected to signal <wa>            |          |
    |     diA            | connected to signal <din>           |          |
    -----------------------------------------------------------------------
    | optimization       | speed                               |          |
    -----------------------------------------------------------------------
    | Port B                                                              |
    |     aspect ratio   | 32-word x 32-bit                    |          |
    |     mode           | write-first                         |          |
    |     clkB           | connected to signal <wclk>          | rise     |
    |     enB            | connected to signal <re2>           | high     |
    |     addrB          | connected to signal <raddr2>        |          |
    |     doB            | connected to internal node          |          |
    -----------------------------------------------------------------------
    | optimization       | speed                               |          |
    -----------------------------------------------------------------------
INFO:Xst - The RAM <Mram_memarr> will be implemented as a BLOCK RAM, absorbing the following register(s): <ra1>
    -----------------------------------------------------------------------
    | ram_type           | Block                               |          |
    -----------------------------------------------------------------------
    | Port A                                                              |
    |     aspect ratio   | 32-word x 32-bit                    |          |
    |     mode           | write-first                         |          |
    |     clkA           | connected to signal <wclk>          | rise     |
    |     weA            | connected to signal <wr>            | high     |
    |     addrA          | connected to signal <wa>            |          |
    |     diA            | connected to signal <din>           |          |
    -----------------------------------------------------------------------
    | optimization       | speed                               |          |
    -----------------------------------------------------------------------
    | Port B                                                              |
    |     aspect ratio   | 32-word x 32-bit                    |          |
    |     mode           | write-first                         |          |
    |     clkB           | connected to signal <wclk>          | rise     |
    |     enB            | connected to signal <re1>           | high     |
    |     addrB          | connected to signal <raddr1>        |          |
    |     doB            | connected to internal node          |          |
    -----------------------------------------------------------------------
    | optimization       | speed                               |          |
    -----------------------------------------------------------------------
Unit <generic_regfile_3p> synthesized (advanced).

Synthesizing (advanced) Unit <mul32>.
        Found pipelined multiplier on signal <prod_mult0001>:
                - 1 pipeline level(s) found in a register connected to the multiplier macro output.
                Pushing register(s) into the multiplier macro.
INFO:Xst:2385 - HDL ADVISOR - You can improve the performance of the multiplier Mmult_prod_mult0001 by adding 2 register level(s).
Unit <mul32> synthesized (advanced).
WARNING:Xst:2677 - Node <r.haddr_11> of sequential type is unconnected in block <ahbctrl>.
WARNING:Xst:2677 - Node <r.haddr_12> of sequential type is unconnected in block <ahbctrl>.
WARNING:Xst:2677 - Node <r.haddr_13> of sequential type is unconnected in block <ahbctrl>.
WARNING:Xst:2677 - Node <r.haddr_14> of sequential type is unconnected in block <ahbctrl>.
WARNING:Xst:2677 - Node <r.haddr_15> of sequential type is unconnected in block <ahbctrl>.
WARNING:Xst:2677 - Node <r.state_2> of sequential type is unconnected in block <apbctrl>.
WARNING:Xst:2677 - Node <r.state_5> of sequential type is unconnected in block <div32>.
WARNING:Xst:2677 - Node <r.readdata_24> of sequential type is unconnected in block <mctrl>.
WARNING:Xst:2677 - Node <r.readdata_25> of sequential type is unconnected in block <mctrl>.
WARNING:Xst:2677 - Node <r.readdata_26> of sequential type is unconnected in block <mctrl>.
WARNING:Xst:2677 - Node <r.readdata_27> of sequential type is unconnected in block <mctrl>.
WARNING:Xst:2677 - Node <r.readdata_28> of sequential type is unconnected in block <mctrl>.
WARNING:Xst:2677 - Node <r.readdata_29> of sequential type is unconnected in block <mctrl>.
WARNING:Xst:2677 - Node <r.readdata_30> of sequential type is unconnected in block <mctrl>.
WARNING:Xst:2677 - Node <r.readdata_31> of sequential type is unconnected in block <mctrl>.

=========================================================================
Advanced HDL Synthesis Report

Macro Statistics
# RAMs                                                 : 2
 32x32-bit dual-port block RAM                         : 2
# ROMs                                                 : 4
 16x3-bit ROM                                          : 2
 32x20-bit ROM                                         : 1
 4x1-bit ROM                                           : 1
# Multipliers                                          : 1
 33x33-bit registered multiplier                       : 1
# Adders/Subtractors                                   : 48
 12-bit subtractor                                     : 1
 15-bit subtractor                                     : 1
 18-bit addsub                                         : 1
 2-bit adder                                           : 5
 3-bit adder                                           : 10
 3-bit subtractor                                      : 3
 30-bit adder                                          : 1
 32-bit adder                                          : 6
 32-bit subtractor                                     : 1
 33-bit adder                                          : 10
 4-bit subtractor                                      : 3
 5-bit adder                                           : 2
 6-bit subtractor                                      : 1
 7-bit adder                                           : 1
 8-bit adder                                           : 2
# Counters                                             : 6
 2-bit up counter                                      : 2
 6-bit up counter                                      : 2
 7-bit up counter                                      : 1
 8-bit up counter                                      : 1
# Registers                                            : 3598
 Flip-Flops                                            : 3598
# Latches                                              : 2
 1-bit latch                                           : 1
 2-bit latch                                           : 1
# Comparators                                          : 150
 12-bit comparator equal                               : 107
 14-bit comparator equal                               : 1
 14-bit comparator greater                             : 1
 14-bit comparator lessequal                           : 1
 14-bit comparator not equal                           : 1
 2-bit comparator equal                                : 1
 2-bit comparator greatequal                           : 3
 2-bit comparator not equal                            : 2
 20-bit comparator equal                               : 9
 22-bit comparator equal                               : 1
 28-bit comparator equal                               : 1
 3-bit comparator equal                                : 2
 3-bit comparator not equal                            : 1
 32-bit comparator equal                               : 1
 32-bit comparator less                                : 1
 32-bit comparator not equal                           : 1
 33-bit comparator equal                               : 2
 5-bit comparator equal                                : 12
 7-bit comparator equal                                : 1
 8-bit comparator equal                                : 1
# Multiplexers                                         : 212
 1-bit 16-to-1 multiplexer                             : 2
 1-bit 256-to-1 multiplexer                            : 10
 1-bit 4-to-1 multiplexer                              : 160
 1-bit 8-to-1 multiplexer                              : 4
 13-bit 4-to-1 multiplexer                             : 1
 2-bit 4-to-1 multiplexer                              : 1
 3-bit 4-to-1 multiplexer                              : 4
 32-bit 16-to-1 multiplexer                            : 2
 32-bit 4-to-1 multiplexer                             : 13
 32-bit 8-to-1 multiplexer                             : 10
 4-bit 4-to-1 multiplexer                              : 1
 5-bit 4-to-1 multiplexer                              : 2
 8-bit 4-to-1 multiplexer                              : 2
# Xors                                                 : 12
 1-bit xor2                                            : 11
 32-bit xor2                                           : 1

=========================================================================

=========================================================================
*                         Low Level Synthesis                           *
=========================================================================
WARNING:Xst:1710 - FF/Latch <r.extclk> (without init value) has a constant value of 0 in block <apbuart>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <CurrState_4> (without init value) has a constant value of 0 in block <ctl_FSM>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <riack> (without init value) has a constant value of 0 in block <ctl_FSM>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.lock> (without init value) has a constant value of 0 in block <icache>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.lrr> (without init value) has a constant value of 0 in block <icache>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.lrr> (without init value) has a constant value of 0 in block <dcache>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.lock> (without init value) has a constant value of 0 in block <dcache>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.ilramen> (without init value) has a constant value of 0 in block <dcache>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.bdelay> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.tcsr_3> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.tcsr_2> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.tcsr_1> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.tcsr_0> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.ds_3> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.ds_2> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.ds_1> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.ds_0> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.mobileen_1> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.mobileen_0> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pmode_2> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pasr_5> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pasr_4> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pasr_3> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pasr_2> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pasr_1> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pasr_0> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.txsr_3> (without init value) has a constant value of 1 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.txsr_2> (without init value) has a constant value of 1 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.txsr_1> (without init value) has a constant value of 1 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.txsr_0> (without init value) has a constant value of 1 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.sdwritedata_63> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_62> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_61> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_60> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_59> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_58> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_57> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_56> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_55> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_54> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_53> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_52> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_51> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_50> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_49> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_48> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_47> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_46> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_45> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_44> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_43> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_42> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_41> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_40> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_39> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_38> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_37> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_36> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_35> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_34> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_33> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdwritedata_32> (without init value) has a constant value of 0 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
INFO:Xst:2261 - The FF/Latch <op2_reged_32> in Unit <muldiv_ff> is equivalent to the following FF/Latch, which will be removed : <op2_sign_reged> 
WARNING:Xst:1710 - FF/Latch <r.hslave_1> (without init value) has a constant value of 0 in block <ahbctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.hslave_2> (without init value) has a constant value of 0 in block <ahbctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <rm.state_0> (without init value) has a constant value of 1 in block <mul32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <rm.state_1> (without init value) has a constant value of 0 in block <mul32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <rm.start> (without init value) has a constant value of 0 in block <mul32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <rm.nready> (without init value) has a constant value of 0 in block <mul32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.x_20> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_21> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_22> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_23> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_24> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_25> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_26> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_27> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_28> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_29> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_30> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_31> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.qmsb> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.qzero> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.state_1> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.state_2> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.state_3> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.ovf> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.neg> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.qcorr> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.zcorr> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_0> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_1> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_2> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_3> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_4> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_5> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_6> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_7> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_8> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_9> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_10> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_11> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_12> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_13> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_14> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_15> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_16> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_17> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_18> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_19> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cnt_0> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cnt_1> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cnt_2> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cnt_3> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cnt_4> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.state_4> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.x_49> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_50> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_51> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_52> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_53> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_54> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_55> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_56> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_57> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_58> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_59> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_60> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_61> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_62> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_63> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_64> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.state_0> (without init value) has a constant value of 1 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_32> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_33> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_34> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_35> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_36> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_37> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_38> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_39> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_40> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_41> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_42> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_43> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_44> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_45> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_46> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_47> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.x_48> (without init value) has a constant value of 0 in block <div32>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <r.zero> of sequential type is unconnected in block <div32>.
WARNING:Xst:2677 - Node <r.zero2> of sequential type is unconnected in block <div32>.
WARNING:Xst:1710 - FF/Latch <r.su> (without init value) has a constant value of 0 in block <icache>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.sdstate_16> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdstate_17> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sdstate_18> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.istate_4> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pmode_0> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.cfg.pmode_1> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.sref_tmpcom_0> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.startsd> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.sref_tmpcom_1> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.sref_tmpcom_2> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <r.idlecnt_0> of sequential type is unconnected in block <sdmctrl>.
WARNING:Xst:2677 - Node <r.idlecnt_1> of sequential type is unconnected in block <sdmctrl>.
WARNING:Xst:2677 - Node <r.idlecnt_2> of sequential type is unconnected in block <sdmctrl>.
WARNING:Xst:2677 - Node <r.idlecnt_3> of sequential type is unconnected in block <sdmctrl>.
WARNING:Xst:1426 - The value init of the FF/Latch l3.cpu[0].u0/p0/mips/ifzero/i_0 hinder the constant cleaning in the block leon3mp.
   You should achieve better results by setting this init to 0.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/mips/ifzero/i_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:1710 - FF/Latch <Mmult_prod_mult0001> (without init value) has a constant value of 0 in block <mul32>. This FF/Latch will be trimmed during the optimization process.

Optimizing unit <leon3mp> ...

Optimizing unit <rstgen> ...

Optimizing unit <ahbctrl> ...
WARNING:Xst:2677 - Node <r.htrans_0> of sequential type is unconnected in block <ahbctrl>.

Optimizing unit <apbctrl> ...

Optimizing unit <apbuart> ...

Optimizing unit <irqmp> ...

Optimizing unit <r32_reg> ...

Optimizing unit <r32_inst_reg> ...

Optimizing unit <r32_data_reg> ...

Optimizing unit <r4_rdaddr_reg> ...

Optimizing unit <ctl_FSM> ...

Optimizing unit <pc_gen> ...

Optimizing unit <compare> ...

Optimizing unit <r32_reg_clr_cls> ...

Optimizing unit <alu_muxa> ...

Optimizing unit <alu_muxb> ...

Optimizing unit <r32_reg_cls> ...

Optimizing unit <muldiv_ff> ...

Optimizing unit <alu> ...

Optimizing unit <decoder> ...

Optimizing unit <dmem_ctl_reg_clr> ...

Optimizing unit <alu_func_reg_clr> ...

Optimizing unit <alu_func_reg_clr_cls> ...

Optimizing unit <dmem_ctl_reg_clr_cls> ...

Optimizing unit <icache> ...

Optimizing unit <dcache> ...

Optimizing unit <mmu_acache> ...

Optimizing unit <generic_regfile_3p> ...

Optimizing unit <ahbmst_1> ...

Optimizing unit <dcom_uart> ...

Optimizing unit <dcom> ...

Optimizing unit <ahbmst_2> ...

Optimizing unit <jtagcom> ...

Optimizing unit <sdmctrl> ...
WARNING:Xst:1710 - FF/Latch <r.dqm_4> (without init value) has a constant value of 1 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.dqm_5> (without init value) has a constant value of 1 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.dqm_6> (without init value) has a constant value of 1 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.dqm_7> (without init value) has a constant value of 1 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.command_0> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.cfg.command_0> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.

Optimizing unit <clkgen_spartan3> ...

Optimizing unit <mctrl> ...
WARNING:Xst:1710 - FF/Latch <r.ramsn_2> (without init value) has a constant value of 1 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.ramsn_3> (without init value) has a constant value of 1 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.ramsn_4> (without init value) has a constant value of 1 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <r.ramsn_2> (without init value) has a constant value of 1 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.ramsn_3> (without init value) has a constant value of 1 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <r.ramsn_4> (without init value) has a constant value of 1 in block <mctrl>. This FF/Latch will be trimmed during the optimization process.

Optimizing unit <rf_stage> ...

Optimizing unit <mips_alu> ...

Optimizing unit <pipelinedregs> ...

Optimizing unit <outpadv_3> ...

Optimizing unit <iopadv> ...

Optimizing unit <cachemem> ...

Optimizing unit <mips_core> ...
WARNING:Xst:1710 - FF/Latch <r.trfc_3> (without init value) has a constant value of 0 in block <sdmctrl>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_3> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_2> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_1> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_0> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hmasterlock> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/m0.c0/dcache0/r.dstate_4> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/m0.c0/dcache0/r.wb.lock> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/m0.c0/a0/r.hlocken> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/m0.c0/a0/r.retry> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/m0.c0/a0/r.lock> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <mctrl0/r.hresp_1> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <mctrl0/r.hburst_2> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <mctrl0/r.hburst_1> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/mips/E1/decoder_pipe/pipereg/U15/dmem_ctl_o_4> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/mips/E1/decoder_pipe/pipereg/U15/dmem_ctl_o_0> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/mips/E1/decoder_pipe/pipereg/U3/dmem_ctl_o_4> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/mips/E1/decoder_pipe/pipereg/U3/dmem_ctl_o_0> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/mips/E1/reg_asi_pass1/r4_o_0> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/mips/E1/reg_asi_pass1/r4_o_1> (without init value) has a constant value of 1 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/mips/E1/reg_asi_pass1/r4_o_2> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/mips/E1/reg_asi_pass1/r4_o_4> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_31> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_30> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_29> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_28> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_27> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_26> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_25> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_23> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_22> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_21> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_20> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_19> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_4> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_5> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_6> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_7> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_8> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_9> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_10> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_11> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_17> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatam_18> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <ahb0/r.hmasterlockd> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <l3.cpu[0].u0/p0/mips/E1/reg_asi_pass2/r4_o_0> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <l3.cpu[0].u0/p0/mips/E1/reg_asi_pass2/r4_o_1> (without init value) has a constant value of 1 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <l3.cpu[0].u0/p0/mips/E1/reg_asi_pass2/r4_o_2> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <l3.cpu[0].u0/p0/mips/E1/reg_asi_pass2/r4_o_4> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <l3.cpu[0].u0/p0/m0.c0/dcache0/r.asi_2> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch <l3.cpu[0].u0/p0/m0.c0/dcache0/r.asi_0> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:2677 - Node <apb0/r.haddr_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <apb0/r.haddr_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <irqctrl.irqctrl0/r.irl_0_3> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <irqctrl.irqctrl0/r.irl_0_2> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <irqctrl.irqctrl0/r.irl_0_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <irqctrl.irqctrl0/r.irl_0_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <irqctrl.irqctrl0/r.cpurst_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/m0.c0/dcache0/r.wb.asi_3> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/m0.c0/dcache0/r.wb.asi_2> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/m0.c0/dcache0/r.wb.asi_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/m0.c0/dcache0/r.wb.asi_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/m0.c0/dcache0/r.mexc> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/m0.c0/dcache0/r.asi_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/m0.c0/a0/r.werr> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <dcomgen.dcom0/ahbmst0/r.start> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <ahbjtaggen0.ahbjtag0/ahbmst0/r.start> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_14> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_13> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_12> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_11> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_10> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_9> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_8> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_7> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_6> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_5> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_4> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_3> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_2> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sa_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.mben_3> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.mben_2> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.mben_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.mben_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_31> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_30> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_29> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_28> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_27> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_26> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_25> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_24> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_23> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_22> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_21> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_20> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_19> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_18> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_17> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_16> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_15> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_14> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_13> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_12> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_11> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_10> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_9> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_8> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_7> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_6> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_5> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_4> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_3> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_2> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rbdrive_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_63> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_62> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_61> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_60> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_59> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_58> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_57> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_56> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_55> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_54> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_53> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_52> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_51> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_50> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_49> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_48> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_47> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_46> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_45> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_44> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_43> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_42> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_41> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_40> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_39> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_38> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_37> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_36> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_35> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_34> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_33> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_32> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_31> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_30> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_29> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_28> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_27> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_26> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_25> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_24> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_23> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_22> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_21> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_20> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_19> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_18> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_17> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_16> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_15> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_14> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_13> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_12> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_11> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_10> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_9> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_8> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_7> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_6> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_5> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_4> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_3> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_2> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/rsbdrive_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.address_31> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.address_30> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.address_29> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.address_28> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_31> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_30> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_29> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_28> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_27> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_26> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_25> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_24> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_23> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_22> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_21> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_20> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_19> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_18> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_17> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_16> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_15> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_14> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_13> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_12> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_11> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_10> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_9> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_8> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_7> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_6> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_5> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_4> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_3> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_2> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_1> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <mctrl0/r.sdwritedata_0> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/mips/E1/iRF_stage/ins_reg/r32_o_31> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/mips/E1/iRF_stage/ins_reg/r32_o_30> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/mips/E1/iRF_stage/ins_reg/r32_o_29> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/mips/E1/iRF_stage/ins_reg/r32_o_28> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/mips/E1/iRF_stage/ins_reg/r32_o_27> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:2677 - Node <l3.cpu[0].u0/p0/mips/E1/iRF_stage/ins_reg/r32_o_26> of sequential type is unconnected in block <leon3mp>.
WARNING:Xst:1710 - FF/Latch <dcomgen.dcom0/ahbmst0/r.retry> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahbjtaggen0.ahbjtag0/ahbmst0/r.retry> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <l3.cpu[0].u0/p0/m0.c0/a0/r.retry2> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.

Mapping all equations...
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_28> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_27> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_25> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_23> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_22> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_21> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_20> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_19> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_18> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_3> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
WARNING:Xst:1710 - FF/Latch <ahb0/r.hrdatas_0> (without init value) has a constant value of 0 in block <leon3mp>. This FF/Latch will be trimmed during the optimization process.
Building and optimizing final netlist ...
INFO:Xst:2261 - The FF/Latch <ahb0/r.hrdatam_13> in Unit <leon3mp> is equivalent to the following FF/Latch, which will be removed : <ahb0/r.hrdatam_12> 
INFO:Xst:2261 - The FF/Latch <ahb0/r.hrdatam_16> in Unit <leon3mp> is equivalent to the following FF/Latch, which will be removed : <ahb0/r.hrdatam_15> 
Found area constraint ratio of 100 (+ 5) on block leon3mp, actual ratio is 41.
Replicating register mctrl0/r.iosn_0 to handle IOB=TRUE attribute
Replicating register mctrl0/r.read to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.rasn to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.sdwen to handle IOB=TRUE attribute
Replicating register mctrl0/r.oen to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.casn to handle IOB=TRUE attribute
Replicating register mctrl0/r.writen to handle IOB=TRUE attribute
Replicating register dcomgen.dcom0/dcom_uart0/r.tshift_0 to handle IOB=TRUE attribute
Replicating register mctrl0/r.bdrive_0 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_31 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_30 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_29 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_28 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_27 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_26 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_25 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_24 to handle IOB=TRUE attribute
Replicating register mctrl0/r.bdrive_1 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_23 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_22 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_21 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_20 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_19 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_18 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_17 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_16 to handle IOB=TRUE attribute
Replicating register mctrl0/r.bdrive_2 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_15 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_14 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_13 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_12 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_11 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_10 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_9 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_8 to handle IOB=TRUE attribute
Replicating register mctrl0/r.bdrive_3 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_7 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_6 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_5 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_4 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_3 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_2 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_1 to handle IOB=TRUE attribute
Replicating register mctrl0/r.writedata_0 to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.sdcsn_1 to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.sdcsn_0 to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.dqm_3 to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.dqm_2 to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.dqm_1 to handle IOB=TRUE attribute
Replicating register mctrl0/sd0.sdctrl/r.dqm_0 to handle IOB=TRUE attribute
Replicating register mctrl0/r.ramsn_1 to handle IOB=TRUE attribute
Replicating register mctrl0/r.ramsn_0 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_27 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_26 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_25 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_24 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_23 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_22 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_21 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_20 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_19 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_18 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_17 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_16 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_15 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_14 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_13 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_12 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_11 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_10 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_9 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_8 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_7 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_6 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_5 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_4 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_3 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_2 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_1 to handle IOB=TRUE attribute
Replicating register mctrl0/r.address_0 to handle IOB=TRUE attribute
Replicating register mctrl0/r.romsn_1 to handle IOB=TRUE attribute
Replicating register mctrl0/r.romsn_0 to handle IOB=TRUE attribute
Replicating register mctrl0/r.ramoen_4 to handle IOB=TRUE attribute
Replicating register mctrl0/r.ramoen_3 to handle IOB=TRUE attribute
Replicating register mctrl0/r.ramoen_2 to handle IOB=TRUE attribute
Replicating register mctrl0/r.ramoen_1 to handle IOB=TRUE attribute
Replicating register mctrl0/r.ramoen_0 to handle IOB=TRUE attribute
Replicating register mctrl0/r.wrn_3 to handle IOB=TRUE attribute
Replicating register mctrl0/r.wrn_2 to handle IOB=TRUE attribute
Replicating register mctrl0/r.wrn_1 to handle IOB=TRUE attribute
Replicating register mctrl0/r.wrn_0 to handle IOB=TRUE attribute

FlipFlop l3.cpu[0].u0/p0/m0.c0/dcache0/r.flush2 has been replicated 2 time(s)
FlipFlop l3.cpu[0].u0/p0/m0.c0/dcache0/rl.waddr_0 has been replicated 1 time(s)
FlipFlop l3.cpu[0].u0/p0/mips/E1/decoder_pipe/pipereg/U18/wb_mux_ctl_o_0 has been replicated 1 time(s)

Final Macro Processing ...

Processing Unit <leon3mp> :
        Found 2-bit shift register for signal <ahbjtaggen0.ahbjtag0/jtagcom0/r.tdi2>.
        Found 2-bit shift register for signal <ahbjtaggen0.ahbjtag0/jtagcom0/r.shift2>.
        Found 4-bit shift register for signal <clkgen0/xc3s.v/dll1rst_0>.
Unit <leon3mp> processed.

=========================================================================
Final Register Report

Macro Statistics
# Registers                                            : 3328
 Flip-Flops                                            : 3328
# Shift Registers                                      : 3
 2-bit shift register                                  : 2
 4-bit shift register                                  : 1

=========================================================================

=========================================================================
*                           Partition Report                             *
=========================================================================

Partition Implementation Status
-------------------------------

  No Partitions were found in this design.

-------------------------------

=========================================================================
*                            Final Report                               *
=========================================================================
Final Results
RTL Top Level Output File Name     : leon3mp.ngr
Top Level Output File Name         : leon3mp
Output Format                      : NGC
Optimization Goal                  : Speed
Keep Hierarchy                     : NO

Design Statistics
# IOs                              : 264

Cell Usage :
# BELS                             : 12784
#      GND                         : 1
#      INV                         : 112
#      LUT1                        : 129
#      LUT2                        : 716
#      LUT2_D                      : 24
#      LUT2_L                      : 12
#      LUT3                        : 2857
#      LUT3_D                      : 35
#      LUT3_L                      : 51
#      LUT4                        : 5138
#      LUT4_D                      : 159
#      LUT4_L                      : 444
#      MULT_AND                    : 30
#      MUXCY                       : 781
#      MUXF5                       : 1259
#      MUXF6                       : 330
#      MUXF7                       : 165
#      MUXF8                       : 72
#      VCC                         : 1
#      XORCY                       : 468
# FlipFlops/Latches                : 3337
#      FD                          : 405
#      FDC                         : 7
#      FDE                         : 804
#      FDE_1                       : 10
#      FDP                         : 67
#      FDR                         : 80
#      FDRE                        : 1448
#      FDRS                        : 26
#      FDS                         : 432
#      FDSE                        : 56
#      LD                          : 1
#      LDE                         : 1
# RAMS                             : 17
#      RAMB16_S18                  : 10
#      RAMB16_S36_S36              : 7
# Shift Registers                  : 3
#      SRL16                       : 3
# Clock Buffers                    : 2
#      BUFG                        : 2
# IO Buffers                       : 138
#      IBUF                        : 7
#      IBUFG                       : 2
#      IOBUF                       : 36
#      OBUF                        : 91
#      OBUFT                       : 2
# DCMs                             : 2
#      DCM                         : 2
# Others                           : 1
#      BSCAN_SPARTAN3              : 1
=========================================================================

Device utilization summary:
---------------------------

Selected Device : 3s1500fg456-4 

 Number of Slices:                     5129  out of  13312    38%  
 Number of Slice Flip Flops:           3179  out of  26624    11%  
 Number of 4 input LUTs:               9680  out of  26624    36%  
    Number used as logic:              9677
    Number used as Shift registers:       3
 Number of IOs:                         264
 Number of bonded IOBs:                 138  out of    333    41%  
    IOB Flip Flops:                     158
 Number of BRAMs:                        17  out of     32    53%  
 Number of GCLKs:                         2  out of      8    25%  
 Number of DCMs:                          2  out of      4    50%  

---------------------------
Partition Resource Summary:
---------------------------

  No Partitions were found in this design.

---------------------------


=========================================================================
TIMING REPORT

NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE.
      FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT
      GENERATED AFTER PLACE-and-ROUTE.

Clock Information:
------------------
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
Clock Signal                                                                                                                 | Clock buffer(FF name)                                     | Load  |
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
l3.cpu[0].u0/p0/mips/ifzero/i_and0000(l3.cpu[0].u0/p0/mips/ifzero/we_o1_and00001_f5:O)                                       | NONE(*)(l3.cpu[0].u0/p0/mips/ifzero/i_0)                  | 1     |
clk                                                                                                                          | clkgen0/xc3s.v/dll0:CLKFX                                 | 3345  |
l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch_cmp_eq0000(l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch_cmp_eq00001:O)| NONE(*)(l3.cpu[0].u0/p0/mips/E1/iRF_stage/i_pc_gen/branch)| 1     |
-----------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------+-------+
(*) These 2 clock signal(s) are generated by combinatorial logic,
and XST is not able to identify which are the primary clock signals.
Please use the CLOCK_SIGNAL constraint to specify the clock signal(s) generated by combinatorial logic.
INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems.

Asynchronous Control Signals Information:
----------------------------------------
-----------------------------------------------------------------+-----------------------------------------+-------+
Control Signal                                                   | Buffer(FF name)                         | Load  |
-----------------------------------------------------------------+-----------------------------------------+-------+
ahb0/rst_inv(ua1.uart1/rst_inv1_INV_0:O)                         | NONE(mctrl0/r.bdrive_3_8)               | 64    |
clkgen0/xc3s.v/dll0rst(rst0/rstoutraw_inv1_INV_0:O)              | NONE(rst0/rstoutl)                      | 6     |
clkgen0/xc3s.v/dll0lock_inv(clkgen0/xc3s.v/dll0lock_inv1_INV_0:O)| NONE(clkgen0/xc3s.v/dll0lock_inv_shift1)| 4     |
-----------------------------------------------------------------+-----------------------------------------+-------+

Timing Summary:
---------------
Speed Grade: -4

   Minimum period: 28.538ns (Maximum Frequency: 35.041MHz)
   Minimum input arrival time before clock: 1.970ns
   Maximum output required time after clock: 7.408ns
   Maximum combinational path delay: No path found

Timing Detail:
--------------
All values displayed in nanoseconds (ns)

=========================================================================
Timing constraint: Default period analysis for Clock 'l3.cpu[0].u0/p0/mips/ifzero/i_and0000'
  Clock period: 2.112ns (frequency: 473.485MHz)
  Total number of paths / destination ports: 1 / 1
-------------------------------------------------------------------------
Delay:               2.112ns (Levels of Logic = 0)
  Source:            l3.cpu[0].u0/p0/mips/ifzero/i_0 (LATCH)
  Destination:       l3.cpu[0].u0/p0/mips/ifzero/i_0 (LATCH)
  Source Clock:      l3.cpu[0].u0/p0/mips/ifzero/i_and0000 falling
  Destination Clock: l3.cpu[0].u0/p0/mips/ifzero/i_and0000 falling

  Data Path: l3.cpu[0].u0/p0/mips/ifzero/i_0 to l3.cpu[0].u0/p0/mips/ifzero/i_0
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     LDE:G->Q              2   0.633   0.877  l3.cpu[0].u0/p0/mips/ifzero/i_0 (l3.cpu[0].u0/p0/mips/ifzero/i_0)
     LDE:GE                    0.602          l3.cpu[0].u0/p0/mips/ifzero/i_0
    ----------------------------------------
    Total                      2.112ns (1.235ns logic, 0.877ns route)
                                       (58.5% logic, 41.5% route)

=========================================================================
Timing constraint: Default period analysis for Clock 'clk'
  Clock period: 28.538ns (frequency: 35.041MHz)
  Total number of paths / destination ports: 4226082144 / 7963
-------------------------------------------------------------------------
Delay:               35.673ns (Levels of Logic = 26)
  Source:            l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rns/q_4 (FF)
  Destination:       l3.cpu[0].u0/cmem0/dme.dtags1.dt1.dt0[0].dtags0/xc2v.x0/a9.x[0].r0 (RAM)
  Source Clock:      clk rising 0.8X
  Destination Clock: clk rising 0.8X

  Data Path: l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rns/q_4 to l3.cpu[0].u0/cmem0/dme.dtags1.dt1.dt0[0].dtags0/xc2v.x0/a9.x[0].r0
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDE:C->Q              3   0.720   1.246  l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rns/q_4 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_reg_rns/q_4)
     LUT4_D:I0->O          1   0.551   0.827  l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rs/mux_fw_cmp_eq0001526 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rs/mux_fw_cmp_eq0001526)
     LUT4:I3->O            3   0.551   1.246  l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rs/mux_fw_cmp_eq0001578_1 (l3.cpu[0].u0/p0/mips/E1/iforward/fw_alu_rs/mux_fw_cmp_eq0001578)
     LUT2:I0->O           10   0.551   1.160  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/a_o_mux0001(2)_SW2 (N1458)
     LUT4:I3->O            1   0.551   0.869  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/a_o_mux0001(3) (l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/a_o_mux0001(3))
     LUT3:I2->O            1   0.551   0.000  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/Mmux_a_o_323 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/Mmux_a_o_323)
     MUXF5:I1->O          58   0.360   2.341  l3.cpu[0].u0/p0/mips/E1/iexec_stage/i_alu_muxa/Mmux_a_o_2_f5_22 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/BUS476(3))
     LUT2_D:I0->O         13   0.551   1.196  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_shifter/shift_out_cmp_eq000121_SW2 (N1700)
     LUT4_D:I3->O         37   0.551   1.908  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_shifter/shift_out_cmp_eq00151 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/mips_shifter/shift_out_cmp_eq0015)
     LUT4:I3->O            1   0.551   0.869  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)302 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)302)
     LUT4:I2->O            1   0.551   1.140  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)329 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)329)
     LUT3:I0->O            1   0.551   0.869  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)339 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)339)
     LUT4:I2->O            1   0.551   0.827  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)362 (l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)362)
     LUT4:I3->O           12   0.551   1.144  l3.cpu[0].u0/p0/mips/E1/iexec_stage/MIPS_alu/c(21)385 (l3.cpu[0].u0/p0/dci_maddress(21))
     LUT4:I3->O            1   0.551   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_lut(4) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_lut(4))
     MUXCY:S->O            1   0.500   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(4) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(4))
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(5) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(5))
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(6) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(6))
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(7) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(7))
     MUXCY:CI->O           1   0.064   0.000  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(8) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(8))
     MUXCY:CI->O           4   0.303   0.985  l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(9) (l3.cpu[0].u0/p0/m0.c0/dcache0/Mcompar_hitv_cmp_eq0000_cy(9))
     LUT4:I2->O            1   0.551   1.140  l3.cpu[0].u0/p0/m0.c0/dcache0/validraw_or000014 (l3.cpu[0].u0/p0/m0.c0/dcache0/validraw_or000014)
     LUT2_D:I0->O          4   0.551   0.943  l3.cpu[0].u0/p0/m0.c0/dcache0/validraw_or0000110 (l3.cpu[0].u0/p0/m0.c0/dcache0/N15)
     LUT4:I3->O            5   0.551   0.989  l3.cpu[0].u0/p0/m0.c0/dcache0/setrepl_mux0004(0)11 (l3.cpu[0].u0/p0/m0.c0/dcache0/N12)
     LUT4_D:I2->O         11   0.551   1.212  l3.cpu[0].u0/p0/m0.c0/dcache0/setrepl_mux0004(0)1 (l3.cpu[0].u0/p0/m0.c0/dcache0/setrepl_mux0004(0))
     LUT4:I2->O            1   0.551   0.869  l3.cpu[0].u0/p0/m0.c0/dcache0/ctwrite_0_mux00051143_SW2 (N1723)
     LUT4:I2->O            1   0.551   0.801  l3.cpu[0].u0/p0/m0.c0/dcache0/ctwrite_2_mux00051 (l3.cpu[0].u0/crami_dcramin_twrite(2))
     RAMB16_S36_S36:WEA        0.484          l3.cpu[0].u0/cmem0/dme.dtags1.dt1.dt0[2].dtags0/xc2v.x0/a9.x[0].r0
    ----------------------------------------
    Total                     35.673ns (13.092ns logic, 22.581ns route)
                                       (36.7% logic, 63.3% route)

=========================================================================
Timing constraint: Default OFFSET IN BEFORE for Clock 'clk'
  Total number of paths / destination ports: 45 / 43
-------------------------------------------------------------------------
Offset:              1.970ns (Levels of Logic = 1)
  Source:            ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0:SEL1 (PAD)
  Destination:       ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0 (FF)
  Destination Clock: clk rising 0.8X

  Data Path: ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0:SEL1 to ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
    BSCAN_SPARTAN3:SEL1    2   0.000   1.216  ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0 (ahbjtaggen0.ahbjtag0/ltapo_asel)
     LUT3:I0->O            1   0.551   0.000  ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/tapo_tck1 (ahbjtaggen0.ahbjtag0/tapo_tck)
     FD:D                      0.203          ahbjtaggen0.ahbjtag0/jtagcom0/r.tck_0
    ----------------------------------------
    Total                      1.970ns (0.754ns logic, 1.216ns route)
                                       (38.3% logic, 61.7% route)

=========================================================================
Timing constraint: Default OFFSET OUT AFTER for Clock 'clk'
  Total number of paths / destination ports: 127 / 91
-------------------------------------------------------------------------
Offset:              7.408ns (Levels of Logic = 1)
  Source:            mctrl0/r.bdrive_0_8 (FF)
  Destination:       data(31) (PAD)
  Source Clock:      clk rising 0.8X

  Data Path: mctrl0/r.bdrive_0_8 to data(31)
                                Gate     Net
    Cell:in->out      fanout   Delay   Delay  Logical Name (Net Name)
    ----------------------------------------  ------------
     FDP:C->Q              1   0.720   0.801  mctrl0/r.bdrive_0_8 (mctrl0/r.bdrive_0_8)
     IOBUF:T->IO               5.887          bdr[0].data_pad/v[7].x0/xcv.x0/ttl0.slow0.op (data(31))
    ----------------------------------------
    Total                      7.408ns (6.607ns logic, 0.801ns route)
                                       (89.2% logic, 10.8% route)

=========================================================================
WARNING:Xst:616 - Invalid property "dont_touch TRUE": Did not attach to ahbjtaggen0.ahbjtag0/tap0/xc3s.u0/u0.


Total REAL time to Xst completion: 337.00 secs
Total CPU time to Xst completion: 337.63 secs
 
--> 

Total memory usage is 504092 kilobytes

Number of errors   :    0 (   0 filtered)
Number of warnings : 2672 (   0 filtered)
Number of infos    :   33 (   0 filtered)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.