OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [leon3mp.xise] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">

  <header>
    <!-- ISE source project file created by Project Navigator.             -->
    <!--                                                                   -->
    <!-- This file contains project source information including a list of -->
    <!-- project source files, project and process properties.  This file, -->
    <!-- along with the project source files, is sufficient to open and    -->
    <!-- implement in ISE Project Navigator.                               -->
    <!--                                                                   -->
    <!-- Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved. -->
  </header>

  <version xil_pn:ise_version="11.1" xil_pn:schema_version="2"/>

  <files>
    <file xil_pn:name="config.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="ahbrom.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="vga_clkgen.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="leon3mp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="leon3mp.ucf" xil_pn:type="FILE_UCF">
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/grlib/stdlib/version.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/stdlib/stdlib.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/sparc/sparc.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/modgen/multlib.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/amba/amba.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/amba/devices.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/amba/defmst.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/amba/apbctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/amba/ahbctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/amba/dma2ahb_pkg.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/grlib/amba/dma2ahb.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="grlib"/>
    </file>
    <file xil_pn:name="../../lib/techmap/gencomp/gencomp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/gencomp/netcomp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/inferred/memory_inferred.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/inferred/ddr_inferred.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/inferred/mul_inferred.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/inferred/ddr_phy_inferred.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/memory_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/buffer_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/pads_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/clkgen_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/tap_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/ddr_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/ddr_phy_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/grspwc_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/grfpw_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/grusbhc_unisimpkg.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/grusbhc_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/unisim/ssrctrl_unisim.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/allclkgen.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/allddr.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/allmem.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/allpads.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/alltap.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/clkgen.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/clkmux.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/clkand.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/ddr_ireg.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/ddr_oreg.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/ddrphy.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/syncram.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/syncram64.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/syncram_2p.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/syncram_dp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/syncfifo.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/regfile_3p.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/tap.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/techbuf.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/clkpad.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/clkpad_ds.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/inpad.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/inpad_ds.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/iodpad.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/iopad.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/iopad_ds.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/lvds_combo.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/odpad.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/outpad.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/outpad_ds.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/toutpad.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/skew_outpad.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/grspwc_net.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/grlfpw_net.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/grfpw_net.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/mul_61x61.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/cpu_disas_net.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/grusbhc_net.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/ringosc.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/techmap/maps/ssrctrl_net.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="techmap"/>
    </file>
    <file xil_pn:name="../../lib/spw/comp/spwcomp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="spw"/>
    </file>
    <file xil_pn:name="../../lib/spw/wrapper/grspw_gen.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="spw"/>
    </file>
    <file xil_pn:name="../../lib/eth/comp/ethcomp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/eth/core/greth_pkg.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/eth/core/eth_rstgen.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/eth/core/eth_ahb_mst.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/eth/core/greth_tx.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/eth/core/greth_rx.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/eth/core/grethc.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/eth/wrapper/greth_gen.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/eth/wrapper/greth_gbit_gen.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="eth"/>
    </file>
    <file xil_pn:name="../../lib/opencores/occomp/occomp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/can/cancomp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/can/can_top.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/can/can_top_core_sync.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/i2c/i2c_master_bit_ctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/i2c/i2c_master_byte_ctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/i2c/i2coc.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/ud_cnt.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/ro_cnt.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/atahost_dma_fifo.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/atahost_dma_actrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/atahost_dma_tctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/atahost_pio_tctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/atahost_pio_actrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/atahost_controller.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/atahost_pio_controller.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/opencores/ata/ocidec2_controller.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="opencores"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/arith/arith.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/arith/mul32.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/arith/div32.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/memctrl/memctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/memctrl/sdctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/memctrl/sdmctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/memctrl/srctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/memctrl/spimctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/leon3.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/reg_zero.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmuconfig.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmuiface.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/libmmu.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/libiu.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/libcache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/libproc3.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/cachemem.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmu_icache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmu_dcache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmu_acache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmutlbcam.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmulrue.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmulru.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmutlb.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmutw.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmu.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mmu_cache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/acache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/dcache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/icache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/cache.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/cpu_disasx.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/grfpwx.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/mfpwx.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/grlfpwx.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/tbufmem.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/dsu3x.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/dsu3.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/proc3.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/leon3s.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/leon3cg.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/irqmp.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/grfpwxsh.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/grfpushwx.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/leon3sh.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/my_mux.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/leon3/top.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/can/can.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/can/can_mod.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/can/can_oc.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/can/can_mc.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/can/canmux.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/can/can_rd.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/misc.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/rstgen.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/gptimer.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/ahbram.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/ahbtrace.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/ahbmst.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/grgpio.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/ahbstat.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/logan.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/apbps2.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/charrom_package.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/charrom.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/apbvga.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/ahbdma.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/svgactrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/i2cmst.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/spictrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/i2cslv.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/wild.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/misc/wild2ahb.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/net/net.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/uart/uart.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/uart/libdcom.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/uart/apbuart.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/uart/dcom.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/uart/dcom_uart.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/uart/ahbuart.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/jtag/jtag.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/jtag/libjtagcom.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/jtag/jtagcom.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/jtag/ahbjtag.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/jtag/ahbjtag_bsd.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/greth/ethernet_mac.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/greth/greth.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/greth/greth_gbit.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/greth/grethm.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/spacewire/spacewire.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/spacewire/grspw.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/spacewire/grspw2.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/spacewire/grspwm.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/usb/grusb.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/ata/ata.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/ata/ata_inf.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/ata/atahost_amba_slave.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/ata/atahost_ahbmst.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/ata/ocidec2_amba_slave.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/ata/atactrl_nodma.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/ata/atactrl_dma.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/ata/atactrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="gaisler"/>
    </file>
    <file xil_pn:name="../../lib/esa/memoryctrl/memoryctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="esa"/>
    </file>
    <file xil_pn:name="../../lib/esa/memoryctrl/mctrl.vhd" xil_pn:type="FILE_VHDL">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
      <library xil_pn:name="esa"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/core1.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/ctl_fsm1.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/decode_pipe1.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/EXEC_stage.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/forward.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/hazard_unit.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/mem_module.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/mips789_defs.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/RF_components1.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/RF_stage1.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/ulit.v" xil_pn:type="FILE_VERILOG">
      <association xil_pn:name="BehavioralSimulation"/>
      <association xil_pn:name="Implementation"/>
    </file>
    <file xil_pn:name="../../lib/gaisler/vlog/vlogsyn.txt" xil_pn:type="FILE_USERDOC"/>
  </files>

  <properties>
    <property xil_pn:name="Allow Unmatched LOC Constraints" xil_pn:value="true"/>
    <property xil_pn:name="Bus Delimiter" xil_pn:value="()"/>
    <property xil_pn:name="Constraints Entry" xil_pn:value="Constraints Editor"/>
    <property xil_pn:name="Device" xil_pn:value="xc3s1500"/>
    <property xil_pn:name="Device Family" xil_pn:value="Spartan3"/>
    <property xil_pn:name="FSM Encoding Algorithm" xil_pn:value="None"/>
    <property xil_pn:name="Fitter Report Format" xil_pn:value="HTML"/>
    <property xil_pn:name="Implementation Top" xil_pn:value="Architecture|leon3mp|rtl"/>
    <property xil_pn:name="Implementation Top Instance Path" xil_pn:value="/leon3mp"/>
    <property xil_pn:name="Macro Search Path" xil_pn:value="../../netlists/xilinx/spartan3"/>
    <property xil_pn:name="Other Map Command Line Options" xil_pn:value="-timing"/>
    <property xil_pn:name="PROP_DesignName" xil_pn:value="leon3mp"/>
    <property xil_pn:name="PROP_xilxBitgCfg_GenOpt_MaskFile_virtex2" xil_pn:value="true"/>
    <property xil_pn:name="PROP_xilxBitgCfg_GenOpt_ReadBack_virtex2" xil_pn:value="true"/>
    <property xil_pn:name="Pack I/O Registers into IOBs" xil_pn:value="Yes"/>
    <property xil_pn:name="Pack I/O Registers/Latches into IOBs" xil_pn:value="For Inputs and Outputs"/>
    <property xil_pn:name="Package" xil_pn:value="fg456"/>
    <property xil_pn:name="Preferred Language" xil_pn:value="Verilog"/>
    <property xil_pn:name="Simulator" xil_pn:value="Modelsim-SE Mixed"/>
    <property xil_pn:name="Speed Grade" xil_pn:value="-4"/>
    <property xil_pn:name="Synthesis Tool" xil_pn:value="XST (VHDL/Verilog)"/>
    <property xil_pn:name="Top-Level Source Type" xil_pn:value="HDL"/>
    <property xil_pn:name="Verbose Property Persistence" xil_pn:value="false"/>
  </properties>

  <bindings/>

  <libraries>
    <library xil_pn:name="esa"/>
    <library xil_pn:name="eth"/>
    <library xil_pn:name="gaisler"/>
    <library xil_pn:name="grlib"/>
    <library xil_pn:name="opencores"/>
    <library xil_pn:name="spw"/>
    <library xil_pn:name="synplify"/>
    <library xil_pn:name="techmap"/>
    <library xil_pn:name="unisim"/>
  </libraries>

  <partitions>
    <partition xil_pn:name="/leon3mp"/>
  </partitions>

</project>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.