OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [gaisler/] [pc_gen/] [_primary.vhd] - Rev 2

Compare with Previous | Blame | View Log

library verilog;
use verilog.vl_types.all;
entity pc_gen is
    port(
        ctl             : in     vl_logic_vector(2 downto 0);
        hold            : in     vl_logic;
        clk             : in     vl_logic;
        pc_next         : out    vl_logic_vector(31 downto 0);
        branch          : out    vl_logic;
        pc_prectl       : in     vl_logic_vector(3 downto 0);
        check           : in     vl_logic;
        s               : in     vl_logic_vector(31 downto 0);
        pc              : in     vl_logic_vector(31 downto 0);
        zz_spc          : in     vl_logic_vector(31 downto 0);
        imm             : in     vl_logic_vector(31 downto 0)
    );
end pc_gen;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.