OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3mp/] [atc18cond.rc] - Rev 2

Compare with Previous | Blame | View Log

dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[0] -to do[0]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[1] -to do[1]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[2] -to do[2]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[3] -to do[3]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[4] -to do[4]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[5] -to do[5]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[6] -to do[6]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[7] -to do[7]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[8] -to do[8]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[9] -to do[9]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[10] -to do[10]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[11] -to do[11]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[12] -to do[12]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[13] -to do[13]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[14] -to do[14]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[15] -to do[15]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[16] -to do[16]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[17] -to do[17]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[18] -to do[18]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[19] -to do[19]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[20] -to do[20]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[21] -to do[21]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[22] -to do[22]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[23] -to do[23]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[24] -to do[24]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[25] -to do[25]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[26] -to do[26]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[27] -to do[27]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[28] -to do[28]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[29] -to do[29]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[30] -to do[30]
dc::set_disable_timing atc18mem/hdss1_1024x32cm4sw0 -from di[31] -to do[31]

dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[0] -to do[0]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[1] -to do[1]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[2] -to do[2]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[3] -to do[3]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[4] -to do[4]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[5] -to do[5]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[6] -to do[6]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[7] -to do[7]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[8] -to do[8]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[9] -to do[9]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[10] -to do[10]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[11] -to do[11]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[12] -to do[12]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[13] -to do[13]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[14] -to do[14]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[15] -to do[15]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[16] -to do[16]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[17] -to do[17]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[18] -to do[18]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[19] -to do[19]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[20] -to do[20]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[21] -to do[21]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[22] -to do[22]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[23] -to do[23]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[24] -to do[24]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[25] -to do[25]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[26] -to do[26]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[27] -to do[27]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[28] -to do[28]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[29] -to do[29]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[30] -to do[30]
dc::set_disable_timing atc18mem/hdss1_128x32cm4sw0 -from di[31] -to do[31]

dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[0] -to do[0]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[1] -to do[1]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[2] -to do[2]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[3] -to do[3]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[4] -to do[4]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[5] -to do[5]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[6] -to do[6]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[7] -to do[7]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[8] -to do[8]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[9] -to do[9]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[10] -to do[10]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[11] -to do[11]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[12] -to do[12]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[13] -to do[13]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[14] -to do[14]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[15] -to do[15]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[16] -to do[16]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[17] -to do[17]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[18] -to do[18]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[19] -to do[19]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[20] -to do[20]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[21] -to do[21]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[22] -to do[22]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[23] -to do[23]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[24] -to do[24]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[25] -to do[25]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[26] -to do[26]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[27] -to do[27]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[28] -to do[28]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[29] -to do[29]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[30] -to do[30]
dc::set_disable_timing atc18mem/hdss1_256x32cm4sw0 -from di[31] -to do[31]

dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[0] -to do[0]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[1] -to do[1]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[2] -to do[2]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[3] -to do[3]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[4] -to do[4]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[5] -to do[5]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[6] -to do[6]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[7] -to do[7]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[8] -to do[8]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[9] -to do[9]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[10] -to do[10]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[11] -to do[11]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[12] -to do[12]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[13] -to do[13]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[14] -to do[14]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[15] -to do[15]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[16] -to do[16]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[17] -to do[17]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[18] -to do[18]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[19] -to do[19]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[20] -to do[20]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[21] -to do[21]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[22] -to do[22]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[23] -to do[23]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[24] -to do[24]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[25] -to do[25]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[26] -to do[26]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[27] -to do[27]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[28] -to do[28]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[29] -to do[29]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[30] -to do[30]
dc::set_disable_timing atc18mem/hdss1_512x32cm4sw0 -from di[31] -to do[31]

dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[0] -to do[0]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[1] -to do[1]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[2] -to do[2]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[3] -to do[3]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[4] -to do[4]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[5] -to do[5]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[6] -to do[6]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[7] -to do[7]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[8] -to do[8]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[9] -to do[9]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[10] -to do[10]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[11] -to do[11]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[12] -to do[12]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[13] -to do[13]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[14] -to do[14]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[15] -to do[15]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[16] -to do[16]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[17] -to do[17]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[18] -to do[18]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[19] -to do[19]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[20] -to do[20]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[21] -to do[21]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[22] -to do[22]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[23] -to do[23]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[24] -to do[24]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[25] -to do[25]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[26] -to do[26]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[27] -to do[27]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[28] -to do[28]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[29] -to do[29]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[30] -to do[30]
dc::set_disable_timing atc18mem/hdss1_64x32cm4sw0 -from di[31] -to do[31]

dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[0] -to do[0]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[1] -to do[1]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[2] -to do[2]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[3] -to do[3]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[4] -to do[4]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[5] -to do[5]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[6] -to do[6]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[7] -to do[7]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[8] -to do[8]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[9] -to do[9]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[10] -to do[10]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[11] -to do[11]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[12] -to do[12]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[13] -to do[13]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[14] -to do[14]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[15] -to do[15]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[16] -to do[16]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[17] -to do[17]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[18] -to do[18]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[19] -to do[19]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[20] -to do[20]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[21] -to do[21]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[22] -to do[22]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[23] -to do[23]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[24] -to do[24]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[25] -to do[25]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[26] -to do[26]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[27] -to do[27]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[28] -to do[28]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[29] -to do[29]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[30] -to do[30]
dc::set_disable_timing atc18mem/hdss1_2048x32cm8sw0 -from di[31] -to do[31]

dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[0] -to doa[0]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[1] -to doa[1]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[2] -to doa[2]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[3] -to doa[3]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[4] -to doa[4]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[5] -to doa[5]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[6] -to doa[6]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[7] -to doa[7]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[8] -to doa[8]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[9] -to doa[9]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[10] -to doa[10]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[11] -to doa[11]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[12] -to doa[12]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[13] -to doa[13]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[14] -to doa[14]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[15] -to doa[15]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[16] -to doa[16]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[17] -to doa[17]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[18] -to doa[18]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[19] -to doa[19]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[20] -to doa[20]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[21] -to doa[21]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[22] -to doa[22]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[23] -to doa[23]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[24] -to doa[24]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[25] -to doa[25]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[26] -to doa[26]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[27] -to doa[27]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[28] -to doa[28]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[29] -to doa[29]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[30] -to doa[30]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dia[31] -to doa[31]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[0] -to dob[0]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[1] -to dob[1]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[2] -to dob[2]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[3] -to dob[3]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[4] -to dob[4]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[5] -to dob[5]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[6] -to dob[6]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[7] -to dob[7]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[8] -to dob[8]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[9] -to dob[9]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[10] -to dob[10]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[11] -to dob[11]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[12] -to dob[12]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[13] -to dob[13]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[14] -to dob[14]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[15] -to dob[15]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[16] -to dob[16]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[17] -to dob[17]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[18] -to dob[18]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[19] -to dob[19]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[20] -to dob[20]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[21] -to dob[21]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[22] -to dob[22]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[23] -to dob[23]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[24] -to dob[24]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[25] -to dob[25]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[26] -to dob[26]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[27] -to dob[27]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[28] -to dob[28]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[29] -to dob[29]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[30] -to dob[30]
dc::set_disable_timing atc18mem/hdss2_64x32cm4sw0 -from dib[31] -to dob[31]

dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[0] -to doa[0]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[1] -to doa[1]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[2] -to doa[2]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[3] -to doa[3]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[4] -to doa[4]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[5] -to doa[5]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[6] -to doa[6]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[7] -to doa[7]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[8] -to doa[8]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[9] -to doa[9]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[10] -to doa[10]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[11] -to doa[11]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[12] -to doa[12]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[13] -to doa[13]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[14] -to doa[14]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[15] -to doa[15]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[16] -to doa[16]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[17] -to doa[17]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[18] -to doa[18]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[19] -to doa[19]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[20] -to doa[20]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[21] -to doa[21]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[22] -to doa[22]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[23] -to doa[23]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[24] -to doa[24]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[25] -to doa[25]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[26] -to doa[26]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[27] -to doa[27]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[28] -to doa[28]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[29] -to doa[29]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[30] -to doa[30]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dia[31] -to doa[31]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[0] -to dob[0]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[1] -to dob[1]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[2] -to dob[2]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[3] -to dob[3]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[4] -to dob[4]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[5] -to dob[5]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[6] -to dob[6]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[7] -to dob[7]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[8] -to dob[8]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[9] -to dob[9]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[10] -to dob[10]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[11] -to dob[11]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[12] -to dob[12]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[13] -to dob[13]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[14] -to dob[14]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[15] -to dob[15]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[16] -to dob[16]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[17] -to dob[17]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[18] -to dob[18]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[19] -to dob[19]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[20] -to dob[20]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[21] -to dob[21]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[22] -to dob[22]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[23] -to dob[23]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[24] -to dob[24]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[25] -to dob[25]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[26] -to dob[26]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[27] -to dob[27]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[28] -to dob[28]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[29] -to dob[29]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[30] -to dob[30]
dc::set_disable_timing atc18mem/hdss2_128x32cm4sw0 -from dib[31] -to dob[31]

dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[0] -to doa[0]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[1] -to doa[1]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[2] -to doa[2]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[3] -to doa[3]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[4] -to doa[4]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[5] -to doa[5]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[6] -to doa[6]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[7] -to doa[7]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[8] -to doa[8]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[9] -to doa[9]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[10] -to doa[10]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[11] -to doa[11]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[12] -to doa[12]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[13] -to doa[13]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[14] -to doa[14]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[15] -to doa[15]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[16] -to doa[16]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[17] -to doa[17]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[18] -to doa[18]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[19] -to doa[19]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[20] -to doa[20]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[21] -to doa[21]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[22] -to doa[22]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[23] -to doa[23]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[24] -to doa[24]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[25] -to doa[25]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[26] -to doa[26]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[27] -to doa[27]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[28] -to doa[28]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[29] -to doa[29]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[30] -to doa[30]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dia[31] -to doa[31]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[0] -to dob[0]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[1] -to dob[1]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[2] -to dob[2]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[3] -to dob[3]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[4] -to dob[4]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[5] -to dob[5]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[6] -to dob[6]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[7] -to dob[7]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[8] -to dob[8]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[9] -to dob[9]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[10] -to dob[10]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[11] -to dob[11]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[12] -to dob[12]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[13] -to dob[13]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[14] -to dob[14]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[15] -to dob[15]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[16] -to dob[16]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[17] -to dob[17]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[18] -to dob[18]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[19] -to dob[19]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[20] -to dob[20]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[21] -to dob[21]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[22] -to dob[22]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[23] -to dob[23]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[24] -to dob[24]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[25] -to dob[25]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[26] -to dob[26]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[27] -to dob[27]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[28] -to dob[28]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[29] -to dob[29]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[30] -to dob[30]
dc::set_disable_timing atc18mem/hdss2_256x32cm4sw0 -from dib[31] -to dob[31]

dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[0] -to doa[0]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[1] -to doa[1]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[2] -to doa[2]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[3] -to doa[3]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[4] -to doa[4]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[5] -to doa[5]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[6] -to doa[6]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[7] -to doa[7]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[8] -to doa[8]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[9] -to doa[9]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[10] -to doa[10]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[11] -to doa[11]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[12] -to doa[12]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[13] -to doa[13]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[14] -to doa[14]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[15] -to doa[15]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[16] -to doa[16]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[17] -to doa[17]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[18] -to doa[18]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[19] -to doa[19]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[20] -to doa[20]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[21] -to doa[21]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[22] -to doa[22]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[23] -to doa[23]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[24] -to doa[24]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[25] -to doa[25]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[26] -to doa[26]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[27] -to doa[27]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[28] -to doa[28]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[29] -to doa[29]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[30] -to doa[30]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dia[31] -to doa[31]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[0] -to dob[0]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[1] -to dob[1]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[2] -to dob[2]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[3] -to dob[3]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[4] -to dob[4]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[5] -to dob[5]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[6] -to dob[6]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[7] -to dob[7]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[8] -to dob[8]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[9] -to dob[9]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[10] -to dob[10]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[11] -to dob[11]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[12] -to dob[12]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[13] -to dob[13]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[14] -to dob[14]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[15] -to dob[15]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[16] -to dob[16]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[17] -to dob[17]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[18] -to dob[18]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[19] -to dob[19]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[20] -to dob[20]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[21] -to dob[21]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[22] -to dob[22]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[23] -to dob[23]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[24] -to dob[24]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[25] -to dob[25]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[26] -to dob[26]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[27] -to dob[27]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[28] -to dob[28]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[29] -to dob[29]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[30] -to dob[30]
dc::set_disable_timing atc18mem/hdss2_512x32cm4sw0 -from dib[31] -to dob[31]

dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[0] -to do[0]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[1] -to do[1]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[2] -to do[2]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[3] -to do[3]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[4] -to do[4]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[5] -to do[5]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[6] -to do[6]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[7] -to do[7]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[8] -to do[8]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[9] -to do[9]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[10] -to do[10]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[11] -to do[11]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[12] -to do[12]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[13] -to do[13]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[14] -to do[14]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[15] -to do[15]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[16] -to do[16]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[17] -to do[17]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[18] -to do[18]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[19] -to do[19]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[20] -to do[20]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[21] -to do[21]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[22] -to do[22]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[23] -to do[23]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[24] -to do[24]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[25] -to do[25]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[26] -to do[26]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[27] -to do[27]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[28] -to do[28]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[29] -to do[29]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[30] -to do[30]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[31] -to do[31]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[32] -to do[32]
dc::set_disable_timing atc18mem/hdss1_1024x34cm4sw0 -from di[33] -to do[33]

dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[0] -to do[0]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[1] -to do[1]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[2] -to do[2]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[3] -to do[3]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[4] -to do[4]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[5] -to do[5]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[6] -to do[6]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[7] -to do[7]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[8] -to do[8]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[9] -to do[9]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[10] -to do[10]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[11] -to do[11]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[12] -to do[12]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[13] -to do[13]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[14] -to do[14]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[15] -to do[15]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[16] -to do[16]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[17] -to do[17]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[18] -to do[18]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[19] -to do[19]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[20] -to do[20]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[21] -to do[21]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[22] -to do[22]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[23] -to do[23]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[24] -to do[24]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[25] -to do[25]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[26] -to do[26]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[27] -to do[27]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[28] -to do[28]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[29] -to do[29]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[30] -to do[30]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[31] -to do[31]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[32] -to do[32]
dc::set_disable_timing atc18mem/hdss1_2048x34cm8sw0 -from di[33] -to do[33]

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.