OpenCores
URL https://opencores.org/ocsvn/mlite/mlite/trunk

Subversion Repositories mlite

[/] [mlite/] [trunk/] [tools/] [makefile] - Rev 47

Go to most recent revision | Compare with Previous | Blame | View Log

CC = cl
#CC = gcc_x86

all: convert.exe mlite.exe tracehex.exe bintohex.exe

convert.exe: convert.c
        @$(CC) -o convert.exe convert.c

mlite.exe: mlite.c
        @$(CC) -o mlite.exe mlite.c

tracehex.exe: tracehex.c
        @$(CC) -o tracehex.exe tracehex.c

bintohex.exe: bintohex.c
        @$(CC) -o bintohex.exe bintohex.c

tohex: 
        bintohex test2.exe 8192
        copy code*.hex ..\vhdl

opcodes: all
        as -o opcodes.o opcodes.asm
        ld -Ttext 0 -eentry -Map test.map -s -N -o test.exe opcodes.o
        -@objdump --disassemble test.exe > test.lst
        @convert
        -@mlite test2.exe BD > test.txt
        @copy code.txt ..\vhdl

test: all
        as -o boot.o boot.asm
        gcc -DMLITE -Dmain=main2 -Wall -c -s test.c
        ld -Ttext 0 -eentry -Map test.map -s -N -o test.exe boot.o test.o
        -@objdump --disassemble test.exe > test.lst
        @convert
        -@mlite test2.exe BD > test.txt
        @copy code.txt ..\vhdl

count: all
        as -o boot.o boot.asm
        gcc -DMLITE -Dmain=main2 -Wall -c -s count.c
        ld -Ttext 0 -eentry -Map test.map -s -N -o test.exe boot.o count.o
        -@objdump --disassemble test.exe > test.lst
        @convert
        -@mlite test2.exe BD > test.txt
        @copy code.txt ..\vhdl

pi: all
        as -o boot.o boot.asm
        gcc -DMLITE -Dmain=main2 -Wall -c -s pi.c
        ld -Ttext 0 -eentry -Map test.map -s -N -o test.exe boot.o pi.o 
        @objdump --disassemble test.exe > test.lst
        @convert
        -@mlite test2.exe BD > test.txt
        @copy code.txt ..\vhdl

run: mlite.exe
        @mlite test2.exe B



Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.