OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [design/] [RVI/] [modular_oscilloscope/] [simulation/] [modelsim.log] - Rev 62

Compare with Previous | Blame | View Log

# Reading C:/Actel/Libero_v8.5/Model/tcl/vsim/pref.tcl 
# do run.do 
# INFO: Simulation library presynth already exists 
# Modifying modelsim.ini
# Modifying modelsim.ini
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Compiling entity daq
# -- Compiling architecture archdaq2 of daq
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity dual_port_memory
# -- Compiling architecture def_arch of dual_port_memory
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity dual_port_memory_wb
# -- Compiling architecture arch01 of dual_port_memory_wb
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity a3pe_pll_2clk
# -- Compiling architecture def_arch of a3pe_pll_2clk
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity eppwbn_ctrl
# -- Compiling architecture state_machines of eppwbn_ctrl
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity eppwbn_epp_side
# -- Compiling architecture multiplexor of eppwbn_epp_side
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity eppwbn_wbn_side
# -- Compiling architecture bridge2 of eppwbn_wbn_side
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling package eppwbn_pkg
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package eppwbn_pkg
# -- Compiling entity eppwbn
# -- Compiling architecture structural of eppwbn
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Loading package eppwbn_pkg
# -- Compiling entity eppwbn_width_extension
# -- Compiling architecture arch_0 of eppwbn_width_extension
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package eppwbn_pkg
# -- Compiling entity eppwbn_16bit
# -- Compiling architecture structural of eppwbn_16bit
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Compiling entity ctrl_output_manager
# -- Compiling architecture arch22 of ctrl_output_manager
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Compiling entity generic_counter
# -- Compiling architecture arch01 of generic_counter
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package math_real
# -- Compiling package ctrl_pkg
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Loading package math_real
# -- Loading package ctrl_pkg
# -- Compiling entity ctrl_memory_writer
# -- Compiling architecture arch12 of ctrl_memory_writer
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package math_real
# -- Compiling entity generic_decoder
# -- Compiling architecture beh of generic_decoder
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package math_real
# -- Loading package ctrl_pkg
# -- Compiling entity ctrl_data_skipper
# -- Compiling architecture arch10 of ctrl_data_skipper
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package math_real
# -- Compiling entity ctrl_channel_selector
# -- Compiling architecture arch01 of ctrl_channel_selector
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Compiling entity ctrl_trigger_manager
# -- Compiling architecture arch01_trigger of ctrl_trigger_manager
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity ctrl_address_allocation
# -- Compiling architecture arch01 of ctrl_address_allocation
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package math_real
# -- Loading package ctrl_pkg
# -- Compiling entity ctrl
# -- Compiling architecture wsm of ctrl
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling package daq_pkg
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package math_real
# -- Compiling package memory_pkg
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package math_real
# -- Loading package ctrl_pkg
# -- Loading package daq_pkg
# -- Loading package memory_pkg
# -- Loading package eppwbn_pkg
# -- Compiling entity modular_oscilloscope
# -- Compiling architecture struc1 of modular_oscilloscope
# -- Loading entity a3pe_pll_2clk
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package math_real
# -- Compiling entity tb_simple_clock
# -- Compiling architecture beh of tb_simple_clock
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity virtual_adc
# -- Compiling architecture beh of virtual_adc
# -- Loading package ctrl_pkg
# -- Loading package daq_pkg
# -- Loading package memory_pkg
# -- Loading package eppwbn_pkg
# -- Compiling entity stimulus
# -- Compiling architecture stimulator of stimulus
# -- Loading entity tb_simple_clock
# -- Loading entity virtual_adc
# -- Compiling entity testbench
# -- Compiling architecture tbgeneratedcode of testbench
# -- Loading entity stimulus
# -- Loading entity modular_oscilloscope
# vsim -L proasic3e -L presynth -t 1ps presynth.testbench 
# //  ModelSim ACTEL 6.4a Aug 29 2008 
# //
# //  Copyright 1991-2008 Mentor Graphics Corporation
# //              All Rights Reserved.
# //
# //  THIS WORK CONTAINS TRADE SECRET AND 
# //  PROPRIETARY INFORMATION WHICH IS THE PROPERTY
# //  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
# //  AND IS SUBJECT TO LICENSE TERMS.
# //
# Loading std.standard
# Loading ieee.std_logic_1164(body)
# Loading ieee.std_logic_arith(body)
# Loading ieee.std_logic_unsigned(body)
# Loading ieee.math_real(body)
# Loading presynth.ctrl_pkg
# Loading presynth.daq_pkg
# Loading presynth.memory_pkg
# Loading presynth.eppwbn_pkg
# Loading presynth.testbench(tbgeneratedcode)
# Loading presynth.stimulus(stimulator)
# Loading presynth.tb_simple_clock(beh)
# Loading presynth.virtual_adc(beh)
# Loading presynth.modular_oscilloscope(struc1)
# Loading ieee.numeric_std(body)
# Loading presynth.daq(archdaq2)
# Loading presynth.eppwbn_16bit(structural)
# Loading presynth.eppwbn(structural)
# Loading presynth.eppwbn_ctrl(state_machines)
# Loading presynth.eppwbn_epp_side(multiplexor)
# Loading presynth.eppwbn_wbn_side(bridge2)
# Loading presynth.eppwbn_width_extension(arch_0)
# Loading presynth.ctrl(wsm)
# Loading presynth.ctrl_output_manager(arch22)
# Loading presynth.ctrl_memory_writer(arch12)
# Loading presynth.generic_counter(arch01)
# Loading presynth.ctrl_data_skipper(arch10)
# Loading presynth.generic_decoder(beh)
# Loading presynth.ctrl_channel_selector(arch01)
# Loading presynth.ctrl_trigger_manager(arch01_trigger)
# Loading presynth.ctrl_address_allocation(arch01)
# Loading presynth.dual_port_memory_wb(arch01)
# Loading presynth.dual_port_memory(def_arch)
# Loading std.textio(body)
# Loading ieee.vital_timing(body)
# Loading ieee.vital_primitives(body)
# Loading proasic3e.vtables
# Loading proasic3e.vcc(vital_act)
# Loading proasic3e.gnd(vital_act)
# Loading proasic3e.buff(vital_act)
# Loading ieee.std_logic_textio(body)
# Loading proasic3e.ram4k9(vital_act)
# Loading proasic3e.or2(vital_act)
# Loading proasic3e.mx2(vital_act)
# Loading proasic3e.nand2(vital_act)
# Loading proasic3e.dfn1(vital_act)
# Loading proasic3e.inv(vital_act)
# Loading proasic3e.and2a(vital_act)
# Loading proasic3e.nor2(vital_act)
# Loading proasic3e.and2(vital_act)
# Loading presynth.a3pe_pll_2clk(def_arch)
# Loading proasic3e.components
# Loading proasic3e.pll(vital_act)
# Loading proasic3e.pllprim(vital_act)
# Loading proasic3e.pllint(vital_act)
# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#           File in use by: Administrador  Hostname: VIRTUAL-BUDI  ProcessID: 1212
#           Attempting to use alternate WLF file "./wlftz2kb2e".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#           Using alternate file: ./wlftz2kb2e
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_chsel0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_memwr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_memwr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_epp16/u_eppwbn8/u_eppwbn
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_epp16/u_eppwbn8/u_eppwbn
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 4  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 4  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 5  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 5  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 400 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 400 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 500 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 500 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 600 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 600 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
do run.do
# INFO: Simulation library presynth already exists 
# Modifying modelsim.ini
# Modifying modelsim.ini
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Compiling entity daq
# -- Compiling architecture archdaq2 of daq
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity dual_port_memory
# -- Compiling architecture def_arch of dual_port_memory
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity dual_port_memory_wb
# -- Compiling architecture arch01 of dual_port_memory_wb
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity a3pe_pll_2clk
# -- Compiling architecture def_arch of a3pe_pll_2clk
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity eppwbn_ctrl
# -- Compiling architecture state_machines of eppwbn_ctrl
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling entity eppwbn_epp_side
# -- Compiling architecture multiplexor of eppwbn_epp_side
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity eppwbn_wbn_side
# -- Compiling architecture bridge2 of eppwbn_wbn_side
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling package eppwbn_pkg
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package eppwbn_pkg
# -- Compiling entity eppwbn
# -- Compiling architecture structural of eppwbn
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Loading package eppwbn_pkg
# -- Compiling entity eppwbn_width_extension
# -- Compiling architecture arch_0 of eppwbn_width_extension
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package eppwbn_pkg
# -- Compiling entity eppwbn_16bit
# -- Compiling architecture structural of eppwbn_16bit
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Compiling entity ctrl_output_manager
# -- Compiling architecture arch22 of ctrl_output_manager
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Compiling entity generic_counter
# -- Compiling architecture arch01 of generic_counter
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package math_real
# -- Compiling package ctrl_pkg
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package numeric_std
# -- Loading package math_real
# -- Loading package ctrl_pkg
# -- Compiling entity ctrl_memory_writer
# -- Compiling architecture arch12 of ctrl_memory_writer
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package math_real
# -- Compiling entity generic_decoder
# -- Compiling architecture beh of generic_decoder
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package math_real
# -- Loading package ctrl_pkg
# -- Compiling entity ctrl_data_skipper
# -- Compiling architecture arch10 of ctrl_data_skipper
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Loading package math_real
# -- Compiling entity ctrl_channel_selector
# -- Compiling architecture arch01 of ctrl_channel_selector
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package numeric_std
# -- Compiling entity ctrl_trigger_manager
# -- Compiling architecture arch01_trigger of ctrl_trigger_manager
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity ctrl_address_allocation
# -- Compiling architecture arch01 of ctrl_address_allocation
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package math_real
# -- Loading package ctrl_pkg
# -- Compiling entity ctrl
# -- Compiling architecture wsm of ctrl
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Compiling package daq_pkg
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package math_real
# -- Compiling package memory_pkg
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Loading package math_real
# -- Loading package ctrl_pkg
# -- Loading package daq_pkg
# -- Loading package memory_pkg
# -- Loading package eppwbn_pkg
# -- Compiling entity modular_oscilloscope
# -- Compiling architecture struc1 of modular_oscilloscope
# -- Loading entity a3pe_pll_2clk
# Model Technology ModelSim ACTEL vcom 6.4a Compiler 2008.08 Aug 29 2008
# -- Loading package standard
# -- Loading package std_logic_1164
# -- Loading package math_real
# -- Compiling entity tb_simple_clock
# -- Compiling architecture beh of tb_simple_clock
# -- Loading package std_logic_arith
# -- Loading package std_logic_unsigned
# -- Compiling entity virtual_adc
# -- Compiling architecture beh of virtual_adc
# -- Loading package ctrl_pkg
# -- Loading package daq_pkg
# -- Loading package memory_pkg
# -- Loading package eppwbn_pkg
# -- Compiling entity stimulus
# -- Compiling architecture stimulator of stimulus
# -- Loading entity tb_simple_clock
# -- Loading entity virtual_adc
# -- Compiling entity testbench
# -- Compiling architecture tbgeneratedcode of testbench
# -- Loading entity stimulus
# -- Loading entity modular_oscilloscope
# vsim -L proasic3e -L presynth -t 1ps presynth.testbench 
# Loading std.standard
# Loading ieee.std_logic_1164(body)
# Loading ieee.std_logic_arith(body)
# Loading ieee.std_logic_unsigned(body)
# Loading ieee.math_real(body)
# Loading presynth.ctrl_pkg
# Loading presynth.daq_pkg
# Loading presynth.memory_pkg
# Loading presynth.eppwbn_pkg
# Loading presynth.testbench(tbgeneratedcode)
# Loading presynth.stimulus(stimulator)
# Loading presynth.tb_simple_clock(beh)
# Loading presynth.virtual_adc(beh)
# Loading presynth.modular_oscilloscope(struc1)
# Loading ieee.numeric_std(body)
# Loading presynth.daq(archdaq2)
# Loading presynth.eppwbn_16bit(structural)
# Loading presynth.eppwbn(structural)
# Loading presynth.eppwbn_ctrl(state_machines)
# Loading presynth.eppwbn_epp_side(multiplexor)
# Loading presynth.eppwbn_wbn_side(bridge2)
# Loading presynth.eppwbn_width_extension(arch_0)
# Loading presynth.ctrl(wsm)
# Loading presynth.ctrl_output_manager(arch22)
# Loading presynth.ctrl_memory_writer(arch12)
# Loading presynth.generic_counter(arch01)
# Loading presynth.ctrl_data_skipper(arch10)
# Loading presynth.generic_decoder(beh)
# Loading presynth.ctrl_channel_selector(arch01)
# Loading presynth.ctrl_trigger_manager(arch01_trigger)
# Loading presynth.ctrl_address_allocation(arch01)
# Loading presynth.dual_port_memory_wb(arch01)
# Loading presynth.dual_port_memory(def_arch)
# Loading std.textio(body)
# Loading ieee.vital_timing(body)
# Loading ieee.vital_primitives(body)
# Loading proasic3e.vtables
# Loading proasic3e.vcc(vital_act)
# Loading proasic3e.gnd(vital_act)
# Loading proasic3e.buff(vital_act)
# Loading ieee.std_logic_textio(body)
# Loading proasic3e.ram4k9(vital_act)
# Loading proasic3e.or2(vital_act)
# Loading proasic3e.mx2(vital_act)
# Loading proasic3e.nand2(vital_act)
# Loading proasic3e.dfn1(vital_act)
# Loading proasic3e.inv(vital_act)
# Loading proasic3e.and2a(vital_act)
# Loading proasic3e.nor2(vital_act)
# Loading proasic3e.and2(vital_act)
# Loading presynth.a3pe_pll_2clk(def_arch)
# Loading proasic3e.components
# Loading proasic3e.pll(vital_act)
# Loading proasic3e.pllprim(vital_act)
# Loading proasic3e.pllint(vital_act)
# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
#           File in use by: Administrador  Hostname: VIRTUAL-BUDI  ProcessID: 1212
#           Attempting to use alternate WLF file "./wlftg67x3y".
# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
#           Using alternate file: ./wlftg67x3y
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_chsel0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_dskip0/u_deco0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_memwr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_memwr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_epp16/u_eppwbn8/u_eppwbn
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_epp16/u_eppwbn8/u_eppwbn
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 0  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_outmgr0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 1  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 4  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 4  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 0 ps  Iteration: 5  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 0 ps  Iteration: 5  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 400 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 400 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 500 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 500 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 600 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 600 ps  Iteration: 3  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 2900 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 1  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 2  Instance: /testbench/u_osc0/u_ctrl/u_ctrl_addalloc0
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, the result will be 'X'(es).
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq
# ** Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 621695 ps  Iteration: 3  Instance: /testbench/u_osc0/u_daq

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.