URL
https://opencores.org/ocsvn/neo430/neo430/trunk
Subversion Repositories neo430
[/] [neo430/] [trunk/] [neo430/] [rtl/] [core/] [neo430_application_image.vhd] - Rev 198
Compare with Previous | Blame | View Log
-- The NEO430 Processor Project, by Stephan Nolting -- Auto-generated memory init file (for APPLICATION) library ieee; use ieee.std_logic_1164.all; package neo430_application_image is type application_init_image_t is array (0 to 65535) of std_ulogic_vector(15 downto 0); constant application_init_image : application_init_image_t := ( 000000 => x"4038", 000001 => x"c000", 000002 => x"4211", 000003 => x"fffa", 000004 => x"4302", 000005 => x"5801", 000006 => x"8321", 000007 => x"40b2", 000008 => x"4700", 000009 => x"ffb8", 000010 => x"4039", 000011 => x"ff80", 000012 => x"9309", 000013 => x"2404", 000014 => x"4389", 000015 => x"0000", 000016 => x"5329", 000017 => x"3ffa", 000018 => x"9801", 000019 => x"2404", 000020 => x"4388", 000021 => x"0000", 000022 => x"5328", 000023 => x"3ffa", 000024 => x"4035", 000025 => x"0228", 000026 => x"4036", 000027 => x"0228", 000028 => x"4037", 000029 => x"c008", 000030 => x"9506", 000031 => x"2404", 000032 => x"45b7", 000033 => x"0000", 000034 => x"5327", 000035 => x"3ffa", 000036 => x"4032", 000037 => x"4000", 000038 => x"4304", 000039 => x"430a", 000040 => x"430b", 000041 => x"430c", 000042 => x"430d", 000043 => x"430e", 000044 => x"430f", 000045 => x"12b0", 000046 => x"006c", 000047 => x"4302", 000048 => x"40b2", 000049 => x"4700", 000050 => x"ffb8", 000051 => x"4032", 000052 => x"0010", 000053 => x"4303", 000054 => x"120a", 000055 => x"1209", 000056 => x"1208", 000057 => x"1207", 000058 => x"403c", 000059 => x"4b00", 000060 => x"434d", 000061 => x"12b0", 000062 => x"00a8", 000063 => x"403c", 000064 => x"020c", 000065 => x"12b0", 000066 => x"013c", 000067 => x"434c", 000068 => x"4037", 000069 => x"016c", 000070 => x"4039", 000071 => x"0172", 000072 => x"4078", 000073 => x"00c8", 000074 => x"4c0a", 000075 => x"531a", 000076 => x"f03c", 000077 => x"00ff", 000078 => x"1287", 000079 => x"480c", 000080 => x"1289", 000081 => x"4a0c", 000082 => x"4030", 000083 => x"0094", 000084 => x"120a", 000085 => x"1209", 000086 => x"421a", 000087 => x"fffc", 000088 => x"421b", 000089 => x"fffe", 000090 => x"4c0e", 000091 => x"5c0e", 000092 => x"4d0f", 000093 => x"6d0f", 000094 => x"434c", 000095 => x"4f09", 000096 => x"9f0b", 000097 => x"2804", 000098 => x"9b09", 000099 => x"201b", 000100 => x"9e0a", 000101 => x"2c19", 000102 => x"434a", 000103 => x"4079", 000104 => x"0003", 000105 => x"407d", 000106 => x"00ff", 000107 => x"9c0d", 000108 => x"2817", 000109 => x"4382", 000110 => x"ffa0", 000111 => x"4a0d", 000112 => x"5a0d", 000113 => x"5d0d", 000114 => x"5d0d", 000115 => x"5d0d", 000116 => x"5d0d", 000117 => x"5d0d", 000118 => x"5d0d", 000119 => x"5d0d", 000120 => x"dc0d", 000121 => x"d03d", 000122 => x"1000", 000123 => x"4d82", 000124 => x"ffa0", 000125 => x"4030", 000126 => x"01a8", 000127 => x"8e0a", 000128 => x"7f0b", 000129 => x"531c", 000130 => x"4030", 000131 => x"00c0", 000132 => x"936a", 000133 => x"2402", 000134 => x"926a", 000135 => x"2008", 000136 => x"490d", 000137 => x"12b0", 000138 => x"01b4", 000139 => x"535a", 000140 => x"f03a", 000141 => x"00ff", 000142 => x"4030", 000143 => x"00d2", 000144 => x"c312", 000145 => x"100c", 000146 => x"4030", 000147 => x"0116", 000148 => x"f03c", 000149 => x"00ff", 000150 => x"403e", 000151 => x"ffa0", 000152 => x"4e2d", 000153 => x"930d", 000154 => x"3bfd", 000155 => x"4c82", 000156 => x"ffa2", 000157 => x"4130", 000158 => x"120a", 000159 => x"1209", 000160 => x"1208", 000161 => x"1207", 000162 => x"4c09", 000163 => x"4038", 000164 => x"0128", 000165 => x"4077", 000166 => x"000d", 000167 => x"496a", 000168 => x"930a", 000169 => x"2002", 000170 => x"4030", 000171 => x"01a4", 000172 => x"903a", 000173 => x"000a", 000174 => x"2002", 000175 => x"474c", 000176 => x"1288", 000177 => x"4a4c", 000178 => x"1288", 000179 => x"5319", 000180 => x"4030", 000181 => x"014e", 000182 => x"4c82", 000183 => x"ffac", 000184 => x"4130", 000185 => x"421e", 000186 => x"fffe", 000187 => x"430f", 000188 => x"4e0b", 000189 => x"5e0b", 000190 => x"4f0d", 000191 => x"6f0d", 000192 => x"4c0e", 000193 => x"430f", 000194 => x"4b0c", 000195 => x"12b0", 000196 => x"01ba", 000197 => x"533c", 000198 => x"633d", 000199 => x"933c", 000200 => x"2003", 000201 => x"933d", 000202 => x"2001", 000203 => x"4130", 000204 => x"4303", 000205 => x"4030", 000206 => x"018a", 000207 => x"4134", 000208 => x"4135", 000209 => x"4136", 000210 => x"4137", 000211 => x"4138", 000212 => x"4139", 000213 => x"413a", 000214 => x"4130", 000215 => x"533d", 000216 => x"c312", 000217 => x"100c", 000218 => x"930d", 000219 => x"23fb", 000220 => x"4130", 000221 => x"120a", 000222 => x"1209", 000223 => x"1208", 000224 => x"1207", 000225 => x"1206", 000226 => x"4c0a", 000227 => x"4d0b", 000228 => x"407d", 000229 => x"0021", 000230 => x"4348", 000231 => x"4349", 000232 => x"4e0c", 000233 => x"df0c", 000234 => x"930c", 000235 => x"2405", 000236 => x"537d", 000237 => x"f03d", 000238 => x"00ff", 000239 => x"930d", 000240 => x"2004", 000241 => x"480c", 000242 => x"490d", 000243 => x"4030", 000244 => x"01a2", 000245 => x"4e0c", 000246 => x"f35c", 000247 => x"930c", 000248 => x"2402", 000249 => x"5a08", 000250 => x"6b09", 000251 => x"4a06", 000252 => x"4b07", 000253 => x"5a06", 000254 => x"6b07", 000255 => x"460a", 000256 => x"470b", 000257 => x"c312", 000258 => x"100f", 000259 => x"100e", 000260 => x"4030", 000261 => x"01d0", 000262 => x"420a", 000263 => x"696c", 000264 => x"6b6e", 000265 => x"6e69", 000266 => x"2067", 000267 => x"454c", 000268 => x"2044", 000269 => x"6564", 000270 => x"6f6d", 000271 => x"7020", 000272 => x"6f72", 000273 => x"7267", 000274 => x"6d61", 000275 => x"000a", others => x"0000" ); end neo430_application_image;