OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_QSYS/] [DE0_NANO_SOC_QSYS.xml] - Rev 221

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<deploy
 date="2014.12.18.15:53:19"
 outputDirectory="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/">
 <perimeter>
  <parameter
     name="AUTO_GENERATION_ID"
     type="Integer"
     defaultValue="0"
     onHdl="0"
     affectsHdl="1" />
  <parameter
     name="AUTO_UNIQUE_ID"
     type="String"
     defaultValue=""
     onHdl="0"
     affectsHdl="1" />
  <parameter
     name="AUTO_DEVICE_FAMILY"
     type="String"
     defaultValue="Cyclone V"
     onHdl="0"
     affectsHdl="1" />
  <parameter
     name="AUTO_DEVICE"
     type="String"
     defaultValue="5CSEMA6F31C6"
     onHdl="0"
     affectsHdl="1" />
  <parameter
     name="AUTO_CLK_CLOCK_RATE"
     type="Long"
     defaultValue="-1"
     onHdl="0"
     affectsHdl="1" />
  <parameter
     name="AUTO_CLK_CLOCK_DOMAIN"
     type="Integer"
     defaultValue="-1"
     onHdl="0"
     affectsHdl="1" />
  <parameter
     name="AUTO_CLK_RESET_DOMAIN"
     type="Integer"
     defaultValue="-1"
     onHdl="0"
     affectsHdl="1" />
  <interface name="clk" kind="clock" start="0">
   <property name="clockRate" value="50000000" />
   <property name="externallyDriven" value="false" />
   <property name="ptfSchematicName" value="" />
   <port name="clk_clk" direction="input" role="clk" width="1" />
  </interface>
  <interface name="reset" kind="reset" start="0">
   <property name="associatedClock" value="" />
   <property name="synchronousEdges" value="NONE" />
   <port name="reset_reset_n" direction="input" role="reset_n" width="1" />
  </interface>
  <interface name="adc_ltc2308_conduit_end" kind="conduit" start="0">
   <property name="allowMultipleExportRoles" value="true" />
   <property name="associatedClock" value="" />
   <property name="associatedReset" value="" />
   <port
       name="adc_ltc2308_conduit_end_CONVST"
       direction="output"
       role="CONVST"
       width="1" />
   <port
       name="adc_ltc2308_conduit_end_SCK"
       direction="output"
       role="SCK"
       width="1" />
   <port
       name="adc_ltc2308_conduit_end_SDI"
       direction="output"
       role="SDI"
       width="1" />
   <port
       name="adc_ltc2308_conduit_end_SDO"
       direction="input"
       role="SDO"
       width="1" />
  </interface>
  <interface name="sw_external_connection" kind="conduit" start="0">
   <property name="allowMultipleExportRoles" value="true" />
   <property name="associatedClock" value="" />
   <property name="associatedReset" value="" />
   <port
       name="sw_external_connection_export"
       direction="input"
       role="export"
       width="10" />
  </interface>
  <interface name="pll_sys_locked" kind="conduit" start="0">
   <property name="allowMultipleExportRoles" value="true" />
   <property name="associatedClock" value="" />
   <property name="associatedReset" value="" />
   <port
       name="pll_sys_locked_export"
       direction="output"
       role="export"
       width="1" />
  </interface>
  <interface name="pll_sys_outclk2" kind="clock" start="1">
   <property name="associatedDirectClock" value="" />
   <property name="clockRate" value="200000000" />
   <property name="clockRateKnown" value="true" />
   <property name="externallyDriven" value="false" />
   <property name="ptfSchematicName" value="" />
   <port name="pll_sys_outclk2_clk" direction="output" role="clk" width="1" />
  </interface>
 </perimeter>
 <entity
   path=""
   parameterizationKey="DE0_NANO_SOC_QSYS:1.0:AUTO_CLK_CLOCK_DOMAIN=-1,AUTO_CLK_CLOCK_RATE=-1,AUTO_CLK_RESET_DOMAIN=-1,AUTO_DEVICE=5CSEMA4U23C6,AUTO_DEVICE_FAMILY=Cyclone V,AUTO_GENERATION_ID=1418889131,AUTO_UNIQUE_ID=(clock_source:14.0:clockFrequency=50000000,clockFrequencyKnown=true,inputClockFrequency=0,resetSynchronousEdges=NONE)(altera_nios2_qsys:14.0:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=nios2_qsys.jtag_debug_module,clockFrequency=100000000,cpuID=0,cpuID_stored=0,cpuReset=false,customInstSlavesSystemInfo=&lt;info/&gt;,dataAddrWidth=20,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;sw.s1&apos; start=&apos;0x0&apos; end=&apos;0x10&apos; /&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x67100&apos; /&gt;&lt;slave name=&apos;nios2_qsys.jtag_debug_module&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81000&apos; end=&apos;0x81008&apos; /&gt;&lt;slave name=&apos;sysid_qsys.control_slave&apos; start=&apos;0x81008&apos; end=&apos;0x81010&apos; /&gt;&lt;slave name=&apos;adc_ltc2308.slave&apos; start=&apos;0x81010&apos; end=&apos;0x81018&apos; /&gt;&lt;/address-map&gt;,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize=32,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_omitDataMaster=false,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_debugReqSignals=false,debug_embeddedPLL=true,debug_jtagInstanceID=0,debug_level=Level1,debug_triggerArming=true,deviceFamilyName=Cyclone V,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Fast,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x67100&apos; /&gt;&lt;slave name=&apos;nios2_qsys.jtag_debug_module&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; /&gt;&lt;/address-map&gt;,internalIrqMaskSystemInfo=3,manuallyAssignCpuID=true,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,muldiv_divider=false,muldiv_multiplierType=EmbeddedMulFast,ocimem_ramBlockType=Automatic,regfile_ramBlockType=Automatic,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateModelChecker=false,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=true,setting_activateTrace_user=false,setting_allowFullAddressRange=false,setting_alwaysEncrypt=true,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_avalonDebugPortPresent=false,setting_bhtIndexPcOnly=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=true,setting_branchPredictionType=Automatic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=false,setting_debugSimGen=false,setting_dtcm_ecc_present=false,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportvectors=false,setting_extraExceptionInfo=false,setting_fullWaveformSignals=false,setting_ic_ecc_present=true,setting_illegalInstructionsTrap=false,setting_illegalMemAccessDetection=false,setting_interruptControllerType=Internal,setting_itcm_ecc_present=false,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_perfCounterWidth=32,setting_performanceCounter=false,setting_preciseDivisionErrorException=false,setting_preciseIllegalMemAccessException=false,setting_preciseSlaveAccessErrorException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot;  ,userDefinedSettings=)(altera_avalon_onchip_memory2:14.0:allowInSystemMemoryContentEditor=false,autoInitializationFileName=DE0_NANO_SOC_QSYS_onchip_memory2,blockType=AUTO,dataWidth=32,derived_gui_ram_block_type=Automatic,derived_init_file_name=DE0_NANO_SOC_QSYS_onchip_memory2.hex,derived_is_hardcopy=false,derived_set_addr_width=16,derived_set_data_width=32,deviceFamily=Cyclone V,deviceFeatures=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dualPort=false,ecc_enabled=false,initMemContent=true,initializationFileName=onchip_mem.hex,instanceID=NONE,memorySize=160000,readDuringWriteMode=DONT_CARE,resetrequest_enabled=true,simAllowMRAMContentsFile=false,simMemInitOnlyFilename=0,singleClockOperation=false,slave1Latency=1,slave2Latency=1,useNonDefaultInitFile=false,useShallowMemBlocks=false,writable=true)(altera_avalon_sysid_qsys:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,id=0,timestamp=1418889131)(altera_avalon_jtag_uart:14.0:allowMultipleConnections=false,avalonSpec=2.0,enableInteractiveInput=false,enableInteractiveOutput=false,hubInstanceID=0,legacySignalAllow=false,readBufferDepth=64,readIRQThreshold=8,simInputCharacterStream=,simInteractiveOptions=NO_INTERACTIVE_WINDOWS,useRegistersForReadBuffer=false,useRegistersForWriteBuffer=false,useRelativePathForSimFile=false,writeBufferDepth=64,writeIRQThreshold=8)(altera_pll:14.0:AUTO_REFCLK_CLOCK_RATE=50000000,c_cnt_bypass_en0=false,c_cnt_bypass_en1=false,c_cnt_bypass_en10=true,c_cnt_bypass_en11=true,c_cnt_bypass_en12=true,c_cnt_bypass_en13=true,c_cnt_bypass_en14=true,c_cnt_bypass_en15=true,c_cnt_bypass_en16=true,c_cnt_bypass_en17=true,c_cnt_bypass_en2=false,c_cnt_bypass_en3=true,c_cnt_bypass_en4=true,c_cnt_bypass_en5=true,c_cnt_bypass_en6=true,c_cnt_bypass_en7=true,c_cnt_bypass_en8=true,c_cnt_bypass_en9=true,c_cnt_hi_div0=2,c_cnt_hi_div1=5,c_cnt_hi_div10=1,c_cnt_hi_div11=1,c_cnt_hi_div12=1,c_cnt_hi_div13=1,c_cnt_hi_div14=1,c_cnt_hi_div15=1,c_cnt_hi_div16=1,c_cnt_hi_div17=1,c_cnt_hi_div2=1,c_cnt_hi_div3=1,c_cnt_hi_div4=1,c_cnt_hi_div5=1,c_cnt_hi_div6=1,c_cnt_hi_div7=1,c_cnt_hi_div8=1,c_cnt_hi_div9=1,c_cnt_in_src0=ph_mux_clk,c_cnt_in_src1=ph_mux_clk,c_cnt_in_src10=ph_mux_clk,c_cnt_in_src11=ph_mux_clk,c_cnt_in_src12=ph_mux_clk,c_cnt_in_src13=ph_mux_clk,c_cnt_in_src14=ph_mux_clk,c_cnt_in_src15=ph_mux_clk,c_cnt_in_src16=ph_mux_clk,c_cnt_in_src17=ph_mux_clk,c_cnt_in_src2=ph_mux_clk,c_cnt_in_src3=ph_mux_clk,c_cnt_in_src4=ph_mux_clk,c_cnt_in_src5=ph_mux_clk,c_cnt_in_src6=ph_mux_clk,c_cnt_in_src7=ph_mux_clk,c_cnt_in_src8=ph_mux_clk,c_cnt_in_src9=ph_mux_clk,c_cnt_lo_div0=2,c_cnt_lo_div1=5,c_cnt_lo_div10=1,c_cnt_lo_div11=1,c_cnt_lo_div12=1,c_cnt_lo_div13=1,c_cnt_lo_div14=1,c_cnt_lo_div15=1,c_cnt_lo_div16=1,c_cnt_lo_div17=1,c_cnt_lo_div2=1,c_cnt_lo_div3=1,c_cnt_lo_div4=1,c_cnt_lo_div5=1,c_cnt_lo_div6=1,c_cnt_lo_div7=1,c_cnt_lo_div8=1,c_cnt_lo_div9=1,c_cnt_odd_div_duty_en0=false,c_cnt_odd_div_duty_en1=false,c_cnt_odd_div_duty_en10=false,c_cnt_odd_div_duty_en11=false,c_cnt_odd_div_duty_en12=false,c_cnt_odd_div_duty_en13=false,c_cnt_odd_div_duty_en14=false,c_cnt_odd_div_duty_en15=false,c_cnt_odd_div_duty_en16=false,c_cnt_odd_div_duty_en17=false,c_cnt_odd_div_duty_en2=false,c_cnt_odd_div_duty_en3=false,c_cnt_odd_div_duty_en4=false,c_cnt_odd_div_duty_en5=false,c_cnt_odd_div_duty_en6=false,c_cnt_odd_div_duty_en7=false,c_cnt_odd_div_duty_en8=false,c_cnt_odd_div_duty_en9=false,c_cnt_ph_mux_prst0=0,c_cnt_ph_mux_prst1=0,c_cnt_ph_mux_prst10=0,c_cnt_ph_mux_prst11=0,c_cnt_ph_mux_prst12=0,c_cnt_ph_mux_prst13=0,c_cnt_ph_mux_prst14=0,c_cnt_ph_mux_prst15=0,c_cnt_ph_mux_prst16=0,c_cnt_ph_mux_prst17=0,c_cnt_ph_mux_prst2=0,c_cnt_ph_mux_prst3=0,c_cnt_ph_mux_prst4=0,c_cnt_ph_mux_prst5=0,c_cnt_ph_mux_prst6=0,c_cnt_ph_mux_prst7=0,c_cnt_ph_mux_prst8=0,c_cnt_ph_mux_prst9=0,c_cnt_prst0=1,c_cnt_prst1=1,c_cnt_prst10=1,c_cnt_prst11=1,c_cnt_prst12=1,c_cnt_prst13=1,c_cnt_prst14=1,c_cnt_prst15=1,c_cnt_prst16=1,c_cnt_prst17=1,c_cnt_prst2=1,c_cnt_prst3=1,c_cnt_prst4=1,c_cnt_prst5=1,c_cnt_prst6=1,c_cnt_prst7=1,c_cnt_prst8=1,c_cnt_prst9=1,debug_print_output=false,debug_use_rbc_taf_method=false,device=5CSEMA4U23C6,device_family=Cyclone V,duty_cycle0=50,duty_cycle1=50,duty_cycle10=50,duty_cycle11=50,duty_cycle12=50,duty_cycle13=50,duty_cycle14=50,duty_cycle15=50,duty_cycle16=50,duty_cycle17=50,duty_cycle2=50,duty_cycle3=50,duty_cycle4=50,duty_cycle5=50,duty_cycle6=50,duty_cycle7=50,duty_cycle8=50,duty_cycle9=50,fractional_vco_multiplier=false,gui_active_clk=false,gui_actual_divide_factor0=4,gui_actual_divide_factor1=10,gui_actual_divide_factor10=1,gui_actual_divide_factor11=1,gui_actual_divide_factor12=1,gui_actual_divide_factor13=1,gui_actual_divide_factor14=1,gui_actual_divide_factor15=1,gui_actual_divide_factor16=1,gui_actual_divide_factor17=1,gui_actual_divide_factor2=2,gui_actual_divide_factor3=1,gui_actual_divide_factor4=1,gui_actual_divide_factor5=1,gui_actual_divide_factor6=1,gui_actual_divide_factor7=1,gui_actual_divide_factor8=1,gui_actual_divide_factor9=1,gui_actual_frac_multiply_factor0=1,gui_actual_frac_multiply_factor1=1,gui_actual_frac_multiply_factor10=1,gui_actual_frac_multiply_factor11=1,gui_actual_frac_multiply_factor12=1,gui_actual_frac_multiply_factor13=1,gui_actual_frac_multiply_factor14=1,gui_actual_frac_multiply_factor15=1,gui_actual_frac_multiply_factor16=1,gui_actual_frac_multiply_factor17=1,gui_actual_frac_multiply_factor2=1,gui_actual_frac_multiply_factor3=1,gui_actual_frac_multiply_factor4=1,gui_actual_frac_multiply_factor5=1,gui_actual_frac_multiply_factor6=1,gui_actual_frac_multiply_factor7=1,gui_actual_frac_multiply_factor8=1,gui_actual_frac_multiply_factor9=1,gui_actual_multiply_factor0=8,gui_actual_multiply_factor1=8,gui_actual_multiply_factor10=1,gui_actual_multiply_factor11=1,gui_actual_multiply_factor12=1,gui_actual_multiply_factor13=1,gui_actual_multiply_factor14=1,gui_actual_multiply_factor15=1,gui_actual_multiply_factor16=1,gui_actual_multiply_factor17=1,gui_actual_multiply_factor2=8,gui_actual_multiply_factor3=1,gui_actual_multiply_factor4=1,gui_actual_multiply_factor5=1,gui_actual_multiply_factor6=1,gui_actual_multiply_factor7=1,gui_actual_multiply_factor8=1,gui_actual_multiply_factor9=1,gui_actual_output_clock_frequency0=0 MHz,gui_actual_output_clock_frequency1=0 MHz,gui_actual_output_clock_frequency10=0 MHz,gui_actual_output_clock_frequency11=0 MHz,gui_actual_output_clock_frequency12=0 MHz,gui_actual_output_clock_frequency13=0 MHz,gui_actual_output_clock_frequency14=0 MHz,gui_actual_output_clock_frequency15=0 MHz,gui_actual_output_clock_frequency16=0 MHz,gui_actual_output_clock_frequency17=0 MHz,gui_actual_output_clock_frequency2=0 MHz,gui_actual_output_clock_frequency3=0 MHz,gui_actual_output_clock_frequency4=0 MHz,gui_actual_output_clock_frequency5=0 MHz,gui_actual_output_clock_frequency6=0 MHz,gui_actual_output_clock_frequency7=0 MHz,gui_actual_output_clock_frequency8=0 MHz,gui_actual_output_clock_frequency9=0 MHz,gui_actual_phase_shift0=0,gui_actual_phase_shift1=0,gui_actual_phase_shift10=0,gui_actual_phase_shift11=0,gui_actual_phase_shift12=0,gui_actual_phase_shift13=0,gui_actual_phase_shift14=0,gui_actual_phase_shift15=0,gui_actual_phase_shift16=0,gui_actual_phase_shift17=0,gui_actual_phase_shift2=0,gui_actual_phase_shift3=0,gui_actual_phase_shift4=0,gui_actual_phase_shift5=0,gui_actual_phase_shift6=0,gui_actual_phase_shift7=0,gui_actual_phase_shift8=0,gui_actual_phase_shift9=0,gui_cascade_counter0=false,gui_cascade_counter1=false,gui_cascade_counter10=false,gui_cascade_counter11=false,gui_cascade_counter12=false,gui_cascade_counter13=false,gui_cascade_counter14=false,gui_cascade_counter15=false,gui_cascade_counter16=false,gui_cascade_counter17=false,gui_cascade_counter2=false,gui_cascade_counter3=false,gui_cascade_counter4=false,gui_cascade_counter5=false,gui_cascade_counter6=false,gui_cascade_counter7=false,gui_cascade_counter8=false,gui_cascade_counter9=false,gui_cascade_outclk_index=0,gui_channel_spacing=0.0,gui_clk_bad=false,gui_device_speed_grade=2,gui_divide_factor_c0=1,gui_divide_factor_c1=1,gui_divide_factor_c10=1,gui_divide_factor_c11=1,gui_divide_factor_c12=1,gui_divide_factor_c13=1,gui_divide_factor_c14=1,gui_divide_factor_c15=1,gui_divide_factor_c16=1,gui_divide_factor_c17=1,gui_divide_factor_c2=1,gui_divide_factor_c3=1,gui_divide_factor_c4=1,gui_divide_factor_c5=1,gui_divide_factor_c6=1,gui_divide_factor_c7=1,gui_divide_factor_c8=1,gui_divide_factor_c9=1,gui_divide_factor_n=1,gui_dps_cntr=C0,gui_dps_dir=Positive,gui_dps_num=1,gui_dsm_out_sel=1st_order,gui_duty_cycle0=50,gui_duty_cycle1=50,gui_duty_cycle10=50,gui_duty_cycle11=50,gui_duty_cycle12=50,gui_duty_cycle13=50,gui_duty_cycle14=50,gui_duty_cycle15=50,gui_duty_cycle16=50,gui_duty_cycle17=50,gui_duty_cycle2=50,gui_duty_cycle3=50,gui_duty_cycle4=50,gui_duty_cycle5=50,gui_duty_cycle6=50,gui_duty_cycle7=50,gui_duty_cycle8=50,gui_duty_cycle9=50,gui_en_adv_params=false,gui_en_dps_ports=false,gui_en_lvds_ports=false,gui_en_phout_ports=false,gui_en_reconf=false,gui_enable_cascade_in=false,gui_enable_cascade_out=false,gui_enable_mif_dps=false,gui_feedback_clock=Global Clock,gui_frac_multiply_factor=1,gui_fractional_cout=32,gui_mif_generate=false,gui_multiply_factor=1,gui_number_of_clocks=3,gui_operation_mode=normal,gui_output_clock_frequency0=100.0,gui_output_clock_frequency1=40.0,gui_output_clock_frequency10=100.0,gui_output_clock_frequency11=100.0,gui_output_clock_frequency12=100.0,gui_output_clock_frequency13=100.0,gui_output_clock_frequency14=100.0,gui_output_clock_frequency15=100.0,gui_output_clock_frequency16=100.0,gui_output_clock_frequency17=100.0,gui_output_clock_frequency2=200.0,gui_output_clock_frequency3=120.0,gui_output_clock_frequency4=100.0,gui_output_clock_frequency5=100.0,gui_output_clock_frequency6=100.0,gui_output_clock_frequency7=100.0,gui_output_clock_frequency8=100.0,gui_output_clock_frequency9=100.0,gui_parameter_list=M-Counter Hi Divide,M-Counter Low Divide,N-Counter Hi Divide,N-Counter Low Divide,M-Counter Bypass Enable,N-Counter Bypass Enable,M-Counter Odd Divide Enable,N-Counter Odd Divide Enable,C-Counter-0 Hi Divide,C-Counter-0 Low Divide,C-Counter-0 Coarse Phase Shift,C-Counter-0 VCO Phase Tap,C-Counter-0 Input Source,C-Counter-0 Bypass Enable,C-Counter-0 Odd Divide Enable,C-Counter-1 Hi Divide,C-Counter-1 Low Divide,C-Counter-1 Coarse Phase Shift,C-Counter-1 VCO Phase Tap,C-Counter-1 Input Source,C-Counter-1 Bypass Enable,C-Counter-1 Odd Divide Enable,C-Counter-2 Hi Divide,C-Counter-2 Low Divide,C-Counter-2 Coarse Phase Shift,C-Counter-2 VCO Phase Tap,C-Counter-2 Input Source,C-Counter-2 Bypass Enable,C-Counter-2 Odd Divide Enable,VCO Post Divide Counter Enable,Charge Pump current (uA),Loop Filter Bandwidth Resistor (Ohms) ,PLL Output VCO Frequency,K-Fractional Division Value (DSM),Feedback Clock Type,Feedback Clock MUX 1,Feedback Clock MUX 2,M Counter Source MUX,PLL Auto Reset,gui_parameter_values=4,4,256,256,false,true,false,false,2,2,1,0,ph_mux_clk,false,false,5,5,1,0,ph_mux_clk,false,false,1,1,1,0,ph_mux_clk,false,false,2,20,4000,400.0 MHz,1,gclk,glb,fb_1,ph_mux_clk,false,gui_phase_shift0=0,gui_phase_shift1=0,gui_phase_shift10=0,gui_phase_shift11=0,gui_phase_shift12=0,gui_phase_shift13=0,gui_phase_shift14=0,gui_phase_shift15=0,gui_phase_shift16=0,gui_phase_shift17=0,gui_phase_shift2=0,gui_phase_shift3=0,gui_phase_shift4=0,gui_phase_shift5=0,gui_phase_shift6=0,gui_phase_shift7=0,gui_phase_shift8=0,gui_phase_shift9=0,gui_phase_shift_deg0=0.0,gui_phase_shift_deg1=0.0,gui_phase_shift_deg10=0.0,gui_phase_shift_deg11=0.0,gui_phase_shift_deg12=0.0,gui_phase_shift_deg13=0.0,gui_phase_shift_deg14=0.0,gui_phase_shift_deg15=0.0,gui_phase_shift_deg16=0.0,gui_phase_shift_deg17=0.0,gui_phase_shift_deg2=0.0,gui_phase_shift_deg3=0.0,gui_phase_shift_deg4=0.0,gui_phase_shift_deg5=0.0,gui_phase_shift_deg6=0.0,gui_phase_shift_deg7=0.0,gui_phase_shift_deg8=0.0,gui_phase_shift_deg9=0.0,gui_phout_division=1,gui_pll_auto_reset=Off,gui_pll_bandwidth_preset=Auto,gui_pll_cascading_mode=Create an adjpllin signal to connect with an upstream PLL,gui_pll_mode=Integer-N PLL,gui_ps_units0=ps,gui_ps_units1=ps,gui_ps_units10=ps,gui_ps_units11=ps,gui_ps_units12=ps,gui_ps_units13=ps,gui_ps_units14=ps,gui_ps_units15=ps,gui_ps_units16=ps,gui_ps_units17=ps,gui_ps_units2=ps,gui_ps_units3=ps,gui_ps_units4=ps,gui_ps_units5=ps,gui_ps_units6=ps,gui_ps_units7=ps,gui_ps_units8=ps,gui_ps_units9=ps,gui_refclk1_frequency=100.0,gui_refclk_switch=false,gui_reference_clock_frequency=50.0,gui_switchover_delay=0,gui_switchover_mode=Automatic Switchover,gui_use_locked=true,m_cnt_bypass_en=false,m_cnt_hi_div=4,m_cnt_lo_div=4,m_cnt_odd_div_duty_en=false,mimic_fbclk_type=gclk,n_cnt_bypass_en=true,n_cnt_hi_div=256,n_cnt_lo_div=256,n_cnt_odd_div_duty_en=false,number_of_cascade_counters=0,number_of_clocks=3,operation_mode=normal,output_clock_frequency0=100.000000 MHz,output_clock_frequency1=40.000000 MHz,output_clock_frequency10=0 MHz,output_clock_frequency11=0 MHz,output_clock_frequency12=0 MHz,output_clock_frequency13=0 MHz,output_clock_frequency14=0 MHz,output_clock_frequency15=0 MHz,output_clock_frequency16=0 MHz,output_clock_frequency17=0 MHz,output_clock_frequency2=200.000000 MHz,output_clock_frequency3=0 MHz,output_clock_frequency4=0 MHz,output_clock_frequency5=0 MHz,output_clock_frequency6=0 MHz,output_clock_frequency7=0 MHz,output_clock_frequency8=0 MHz,output_clock_frequency9=0 MHz,phase_shift0=0 ps,phase_shift1=0 ps,phase_shift10=0 ps,phase_shift11=0 ps,phase_shift12=0 ps,phase_shift13=0 ps,phase_shift14=0 ps,phase_shift15=0 ps,phase_shift16=0 ps,phase_shift17=0 ps,phase_shift2=0 ps,phase_shift3=0 ps,phase_shift4=0 ps,phase_shift5=0 ps,phase_shift6=0 ps,phase_shift7=0 ps,phase_shift8=0 ps,phase_shift9=0 ps,pll_auto_clk_sw_en=false,pll_bwctrl=4000,pll_clk_loss_sw_en=false,pll_clk_sw_dly=0,pll_clkin_0_src=clk_0,pll_clkin_1_src=clk_0,pll_cp_current=20,pll_dsm_out_sel=1st_order,pll_fbclk_mux_1=glb,pll_fbclk_mux_2=fb_1,pll_fractional_cout=32,pll_fractional_division=1,pll_m_cnt_in_src=ph_mux_clk,pll_manu_clk_sw_en=false,pll_output_clk_frequency=400.0 MHz,pll_slf_rst=false,pll_subtype=General,pll_type=General,pll_vco_div=2,pll_vcoph_div=1,refclk1_frequency=100.0 MHz,reference_clock_frequency=50.0 MHz)(adc_ltc2308:1.1:AUTO_CLOCK_SINK_ADC_CLOCK_RATE=40000000,AUTO_CLOCK_SINK_CLOCK_RATE=100000000)(altera_avalon_pio:14.0:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=true,clockRate=100000000,derived_capture=true,derived_do_test_bench_wiring=false,derived_edge_type=ANY,derived_has_in=true,derived_has_irq=true,derived_has_out=false,derived_has_tri=false,derived_irq_type=EDGE,direction=Input,edgeType=ANY,generateIRQ=true,irqType=EDGE,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=10)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00080800,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00080800,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00040000,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00081008,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00081000,defaultConnection=false)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00040000,defaultConnection=false)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(interrupt:14.0:irqNumber=0)(clock:14.0:)(clock:14.0:)(reset:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00081010,defaultConnection=false)(clock:14.0:)(reset:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(interrupt:14.0:irqNumber=1)(reset:14.0:)"
   instancePathKey="DE0_NANO_SOC_QSYS"
   kind="DE0_NANO_SOC_QSYS"
   version="1.0"
   name="DE0_NANO_SOC_QSYS">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="AUTO_UNIQUE_ID" value="" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="-1" />
  <parameter name="AUTO_CLK_RESET_DOMAIN" value="-1" />
  <parameter name="AUTO_DEVICE" value="5CSEMA4U23C6" />
  <parameter name="AUTO_GENERATION_ID" value="1418889131" />
  <parameter name="AUTO_CLK_CLOCK_DOMAIN" value="-1" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/DE0_NANO_SOC_QSYS.v"
       type="VERILOG" />
  </generatedFiles>
  <childGeneratedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.ocp"
       type="OTHER"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.sdc"
       type="SDC"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.v"
       type="VERILOG_ENCRYPT"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_bht_ram.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_dc_tag_ram.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ic_tag_ram.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_sysclk.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_tck.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_wrapper.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_mult_cell.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ociram_default_contents.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_oci_test_bench.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_a.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_b.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_test_bench.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_onchip_memory2.hex"
       type="HEX"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_onchip_memory2.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_sysid_qsys.v"
       type="VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_jtag_uart.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_pll_sys.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_pll_sys.qip"
       type="OTHER"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_ltc2308_fifo.v"
       type="VERILOG"
       attributes="TOP_LEVEL_FILE" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_ltc2308.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_data_fifo.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_sw.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0.v"
       type="VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_translator.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_translator.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_agent.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_agent.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
       type="VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_traffic_limiter.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_reorder_memory.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_st_pipeline_base.v"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_irq_mapper.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_controller.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_synchronizer.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_controller.sdc"
       type="SDC"
       attributes="" />
  </childGeneratedFiles>
  <sourceFiles>
   <file path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS.qsys" />
  </sourceFiles>
  <childSourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/nios2_ip/altera_nios2/altera_nios2_qsys_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" />
   <file path="C:/altera/14.0/ip/altera/altera_pll/source/top/pll_hw.tcl" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.components.hwtclvalidator.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.privateinterfaces.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/guava-15.0.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.entityinterfaces.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.utilities.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/hamcrest-all-1.3.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/commons-lang3-3.1.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/javasysmon.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.infrastructure.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.version.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.jdbcsqlite.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/commons-logging-1.1.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopclibrary.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.atlantic.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.components.tclmodule.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.hdlcomponent.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopc.generator.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.hdlwriter.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcreport.build.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcdocument.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcreport.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.transforms.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.qsys.cmsis.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jaxb-impl.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jaxb-api.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jaxb-core.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.qsys.ipxact.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopc.qsymbol.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.minieval2.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.tcl.interpreter.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jacl1.3.2a.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jline-0.9.91.jar" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/ip/ADC_LTC2308_FIFO/adc_ltc2308_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" />
  </childSourceFiles>
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 0 starting:DE0_NANO_SOC_QSYS "DE0_NANO_SOC_QSYS"</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug">Transform: CustomInstructionTransform</message>
   <message level="Debug">No custom instruction connections, skipping transform </message>
   <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>8</b> modules, <b>26</b> connections]]></message>
   <message level="Debug">Transform: MMTransform</message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>8</b> modules, <b>21</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug" culprit="merlin_translator_transform"><![CDATA[After transform: <b>16</b> modules, <b>48</b> connections]]></message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2_qsys.instruction_master and nios2_qsys_instruction_master_translator.avalon_anti_master_0</message>
   <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2_qsys.data_master and nios2_qsys_data_master_translator.avalon_anti_master_0</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug">Transform merlin_domain_transform not run on matched interfaces nios2_qsys_jtag_debug_module_translator.avalon_anti_slave_0 and nios2_qsys.jtag_debug_module</message>
   <message level="Debug">Transform merlin_domain_transform not run on matched interfaces onchip_memory2_s1_translator.avalon_anti_slave_0 and onchip_memory2.s1</message>
   <message level="Debug">Transform merlin_domain_transform not run on matched interfaces sysid_qsys_control_slave_translator.avalon_anti_slave_0 and sysid_qsys.control_slave</message>
   <message level="Debug">Transform merlin_domain_transform not run on matched interfaces jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0 and jtag_uart.avalon_jtag_slave</message>
   <message level="Debug">Transform merlin_domain_transform not run on matched interfaces adc_ltc2308_slave_translator.avalon_anti_slave_0 and adc_ltc2308.slave</message>
   <message level="Debug">Transform merlin_domain_transform not run on matched interfaces sw_s1_translator.avalon_anti_slave_0 and sw.s1</message>
   <message level="Debug" culprit="merlin_domain_transform"><![CDATA[After transform: <b>31</b> modules, <b>133</b> connections]]></message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug" culprit="merlin_router_transform"><![CDATA[After transform: <b>39</b> modules, <b>160</b> connections]]></message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug" culprit="merlin_traffic_limiter_transform"><![CDATA[After transform: <b>41</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug" culprit="merlin_network_to_switch_transform"><![CDATA[After transform: <b>56</b> modules, <b>205</b> connections]]></message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug" culprit="limiter_update_transform"><![CDATA[After transform: <b>56</b> modules, <b>207</b> connections]]></message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug" culprit="merlin_clock_and_reset_bridge_transform"><![CDATA[After transform: <b>59</b> modules, <b>264</b> connections]]></message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>9</b> modules, <b>30</b> connections]]></message>
   <message level="Debug" culprit="merlin_mm_transform"><![CDATA[After transform: <b>9</b> modules, <b>30</b> connections]]></message>
   <message level="Debug">Transform: InterruptMapperTransform</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug" culprit="merlin_interrupt_mapper_transform"><![CDATA[After transform: <b>10</b> modules, <b>34</b> connections]]></message>
   <message level="Debug">Transform: InterruptSyncTransform</message>
   <message level="Debug">Transform: InterruptFanoutTransform</message>
   <message level="Debug">Transform: AvalonStreamingTransform</message>
   <message level="Debug">Transform: ResetAdaptation</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug" culprit="reset_adaptation_transform"><![CDATA[After transform: <b>15</b> modules, <b>47</b> connections]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_nios2_qsys</b> "<b>submodules/DE0_NANO_SOC_QSYS_nios2_qsys</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_avalon_onchip_memory2</b> "<b>submodules/DE0_NANO_SOC_QSYS_onchip_memory2</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_avalon_sysid_qsys</b> "<b>submodules/DE0_NANO_SOC_QSYS_sysid_qsys</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_avalon_jtag_uart</b> "<b>submodules/DE0_NANO_SOC_QSYS_jtag_uart</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_pll</b> "<b>submodules/DE0_NANO_SOC_QSYS_pll_sys</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>adc_ltc2308</b> "<b>submodules/adc_ltc2308_fifo</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_avalon_pio</b> "<b>submodules/DE0_NANO_SOC_QSYS_sw</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_mm_interconnect</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_irq_mapper</b> "<b>submodules/DE0_NANO_SOC_QSYS_irq_mapper</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_reset_controller</b> "<b>submodules/altera_reset_controller</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_reset_controller</b> "<b>submodules/altera_reset_controller</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" reuses <b>altera_reset_controller</b> "<b>submodules/altera_reset_controller</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 11 starting:altera_nios2_qsys "submodules/DE0_NANO_SOC_QSYS_nios2_qsys"</message>
   <message level="Info" culprit="nios2_qsys">Starting RTL generation for module 'DE0_NANO_SOC_QSYS_nios2_qsys'</message>
   <message level="Info" culprit="nios2_qsys">  Generation command is [exec C:/altera/14.0/quartus/bin64/eperlcmd.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/cpu_lib -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/nios_lib -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2 -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2 -- C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/generate_rtl.epl --name=DE0_NANO_SOC_QSYS_nios2_qsys --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0001_nios2_qsys_gen/ --quartus_bindir=C:/altera/14.0/quartus/bin64 --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0001_nios2_qsys_gen//DE0_NANO_SOC_QSYS_nios2_qsys_processor_configuration.pl  --do_build_sim=0  ]</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:25 (*) Starting Nios II generation</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:25 (*)   Checking for plaintext license.</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:37 (*)   Plaintext license not found.</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:37 (*)   Checking for encrypted license (non-evaluation).</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:57 (*)   Encrypted license found.  SOF will not be time-limited.</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:57 (*)   Elaborating CPU configuration settings</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:57 (*)   Creating all objects for CPU</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:57 (*)     Testbench</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:58 (*)     Instruction decoding</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:58 (*)       Instruction fields</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:58 (*)       Instruction decodes</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:59 (*)       Signals for RTL simulation waveforms</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:59 (*)       Instruction controls</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:59 (*)     Pipeline frontend</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:59 (*)     Pipeline backend</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:53:03 (*)   Generating RTL from CPU objects</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:53:07 (*)   Creating encrypted RTL</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:53:09 (*) Done Nios II generation</message>
   <message level="Info" culprit="nios2_qsys">Done RTL generation for module 'DE0_NANO_SOC_QSYS_nios2_qsys'</message>
   <message level="Info" culprit="nios2_qsys"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_nios2_qsys</b> "<b>nios2_qsys</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 10 starting:altera_avalon_onchip_memory2 "submodules/DE0_NANO_SOC_QSYS_onchip_memory2"</message>
   <message level="Info" culprit="onchip_memory2">Starting RTL generation for module 'DE0_NANO_SOC_QSYS_onchip_memory2'</message>
   <message level="Info" culprit="onchip_memory2">  Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_onchip_memory2 --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0002_onchip_memory2_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0002_onchip_memory2_gen//DE0_NANO_SOC_QSYS_onchip_memory2_component_configuration.pl  --do_build_sim=0  ]</message>
   <message level="Info" culprit="onchip_memory2">Done RTL generation for module 'DE0_NANO_SOC_QSYS_onchip_memory2'</message>
   <message level="Info" culprit="onchip_memory2"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_avalon_onchip_memory2</b> "<b>onchip_memory2</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 9 starting:altera_avalon_sysid_qsys "submodules/DE0_NANO_SOC_QSYS_sysid_qsys"</message>
   <message level="Info" culprit="sysid_qsys"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_avalon_sysid_qsys</b> "<b>sysid_qsys</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 8 starting:altera_avalon_jtag_uart "submodules/DE0_NANO_SOC_QSYS_jtag_uart"</message>
   <message level="Info" culprit="jtag_uart">Starting RTL generation for module 'DE0_NANO_SOC_QSYS_jtag_uart'</message>
   <message level="Info" culprit="jtag_uart">  Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_jtag_uart --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0004_jtag_uart_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0004_jtag_uart_gen//DE0_NANO_SOC_QSYS_jtag_uart_component_configuration.pl  --do_build_sim=0  ]</message>
   <message level="Info" culprit="jtag_uart">Done RTL generation for module 'DE0_NANO_SOC_QSYS_jtag_uart'</message>
   <message level="Info" culprit="jtag_uart"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_avalon_jtag_uart</b> "<b>jtag_uart</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 7 starting:altera_pll "submodules/DE0_NANO_SOC_QSYS_pll_sys"</message>
   <message level="Info" culprit="pll_sys"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_pll</b> "<b>pll_sys</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 6 starting:adc_ltc2308 "submodules/adc_ltc2308_fifo"</message>
   <message level="Info" culprit="adc_ltc2308"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>adc_ltc2308</b> "<b>adc_ltc2308</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 5 starting:altera_avalon_pio "submodules/DE0_NANO_SOC_QSYS_sw"</message>
   <message level="Info" culprit="sw">Starting RTL generation for module 'DE0_NANO_SOC_QSYS_sw'</message>
   <message level="Info" culprit="sw">  Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_sw --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0007_sw_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0007_sw_gen//DE0_NANO_SOC_QSYS_sw_component_configuration.pl  --do_build_sim=0  ]</message>
   <message level="Info" culprit="sw">Done RTL generation for module 'DE0_NANO_SOC_QSYS_sw'</message>
   <message level="Info" culprit="sw"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_avalon_pio</b> "<b>sw</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 4 starting:altera_mm_interconnect "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0"</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug">Transform: CustomInstructionTransform</message>
   <message level="Debug">No custom instruction connections, skipping transform </message>
   <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: MMTransform</message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InterruptMapperTransform</message>
   <message level="Debug">Transform: InterruptSyncTransform</message>
   <message level="Debug">Transform: InterruptFanoutTransform</message>
   <message level="Debug">Transform: AvalonStreamingTransform</message>
   <message level="Debug">Transform: ResetAdaptation</message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_traffic_limiter</b> "<b>submodules/altera_merlin_traffic_limiter</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_traffic_limiter</b> "<b>submodules/altera_merlin_traffic_limiter</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001</b>"]]></message>
   <message level="Info" culprit="mm_interconnect_0"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_mm_interconnect</b> "<b>mm_interconnect_0</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 47 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_qsys_instruction_master_translator</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 45 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>nios2_qsys_jtag_debug_module_translator</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 39 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_qsys_instruction_master_agent</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 37 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>nios2_qsys_jtag_debug_module_agent</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 36 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>nios2_qsys_jtag_debug_module_agent_rsp_fifo</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 25 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router"</message>
   <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 24 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001"</message>
   <message level="Info" culprit="router_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_001</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 23 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"</message>
   <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 21 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"</message>
   <message level="Info" culprit="router_004"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_004</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 17 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_limiter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_traffic_limiter</b> "<b>nios2_qsys_instruction_master_limiter</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v</b>]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 15 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux"</message>
   <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 14 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001"</message>
   <message level="Info" culprit="cmd_demux_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux_001</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 13 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"</message>
   <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 11 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"</message>
   <message level="Info" culprit="cmd_mux_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux_002</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 7 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"</message>
   <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 5 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"</message>
   <message level="Info" culprit="rsp_demux_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 1 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux"</message>
   <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 0 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001"</message>
   <message level="Info" culprit="rsp_mux_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux_001</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 51 starting:altera_irq_mapper "submodules/DE0_NANO_SOC_QSYS_irq_mapper"</message>
   <message level="Info" culprit="irq_mapper"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_irq_mapper</b> "<b>irq_mapper</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 50 starting:altera_reset_controller "submodules/altera_reset_controller"</message>
   <message level="Info" culprit="rst_controller"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_reset_controller</b> "<b>rst_controller</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_nios2_qsys:14.0:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=nios2_qsys.jtag_debug_module,clockFrequency=100000000,cpuID=0,cpuID_stored=0,cpuReset=false,customInstSlavesSystemInfo=&lt;info/&gt;,dataAddrWidth=20,dataSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;sw.s1&apos; start=&apos;0x0&apos; end=&apos;0x10&apos; /&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x67100&apos; /&gt;&lt;slave name=&apos;nios2_qsys.jtag_debug_module&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81000&apos; end=&apos;0x81008&apos; /&gt;&lt;slave name=&apos;sysid_qsys.control_slave&apos; start=&apos;0x81008&apos; end=&apos;0x81010&apos; /&gt;&lt;slave name=&apos;adc_ltc2308.slave&apos; start=&apos;0x81010&apos; end=&apos;0x81018&apos; /&gt;&lt;/address-map&gt;,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize=32,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_omitDataMaster=false,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_debugReqSignals=false,debug_embeddedPLL=true,debug_jtagInstanceID=0,debug_level=Level1,debug_triggerArming=true,deviceFamilyName=Cyclone V,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Fast,instAddrWidth=20,instSlaveMapParam=&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x67100&apos; /&gt;&lt;slave name=&apos;nios2_qsys.jtag_debug_module&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; /&gt;&lt;/address-map&gt;,internalIrqMaskSystemInfo=3,manuallyAssignCpuID=true,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,muldiv_divider=false,muldiv_multiplierType=EmbeddedMulFast,ocimem_ramBlockType=Automatic,regfile_ramBlockType=Automatic,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateModelChecker=false,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=true,setting_activateTrace_user=false,setting_allowFullAddressRange=false,setting_alwaysEncrypt=true,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_avalonDebugPortPresent=false,setting_bhtIndexPcOnly=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=true,setting_branchPredictionType=Automatic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=false,setting_debugSimGen=false,setting_dtcm_ecc_present=false,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportvectors=false,setting_extraExceptionInfo=false,setting_fullWaveformSignals=false,setting_ic_ecc_present=true,setting_illegalInstructionsTrap=false,setting_illegalMemAccessDetection=false,setting_interruptControllerType=Internal,setting_itcm_ecc_present=false,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_perfCounterWidth=32,setting_performanceCounter=false,setting_preciseDivisionErrorException=false,setting_preciseIllegalMemAccessException=false,setting_preciseSlaveAccessErrorException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,translate_off= &quot;synthesis translate_off&quot; ,translate_on= &quot;synthesis translate_on&quot;  ,userDefinedSettings="
   instancePathKey="DE0_NANO_SOC_QSYS:.:nios2_qsys"
   kind="altera_nios2_qsys"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_nios2_qsys">
  <parameter name="muldiv_divider" value="false" />
  <parameter name="exceptionOffset" value="32" />
  <parameter name="setting_shadowRegisterSets" value="0" />
  <parameter name="setting_activateMonitors" value="true" />
  <parameter name="setting_preciseSlaveAccessErrorException" value="false" />
  <parameter name="tightlyCoupledDataMaster3MapParam" value="" />
  <parameter name="setting_branchPredictionType" value="Automatic" />
  <parameter name="setting_bhtPtrSz" value="8" />
  <parameter name="dcache_ramBlockType" value="Automatic" />
  <parameter name="tightlyCoupledDataMaster0MapParam" value="" />
  <parameter name="tightlyCoupledDataMaster2AddrWidth" value="1" />
  <parameter name="setting_ecc_sim_test_ports" value="false" />
  <parameter name="setting_alwaysEncrypt" value="true" />
  <parameter name="icache_numTCIM" value="0" />
  <parameter name="icache_size" value="4096" />
  <parameter name="internalIrqMaskSystemInfo" value="3" />
  <parameter name="translate_off" value=" &quot;synthesis translate_off&quot; " />
  <parameter name="dcache_numTCDM" value="0" />
  <parameter name="breakSlave" value="nios2_qsys.jtag_debug_module" />
  <parameter name="dcache_size" value="2048" />
  <parameter name="userDefinedSettings" value="" />
  <parameter name="cpuID_stored" value="0" />
  <parameter name="tightlyCoupledDataMaster2MapParam" value="" />
  <parameter name="mmu_autoAssignTlbPtrSz" value="true" />
  <parameter name="clockFrequency" value="100000000" />
  <parameter name="setting_allowFullAddressRange" value="false" />
  <parameter name="setting_illegalInstructionsTrap" value="false" />
  <parameter name="mmu_tlbPtrSz" value="7" />
  <parameter name="setting_avalonDebugPortPresent" value="false" />
  <parameter name="mmu_TLBMissExcOffset" value="0" />
  <parameter name="breakOffset" value="32" />
  <parameter name="setting_dtcm_ecc_present" value="false" />
  <parameter name="customInstSlavesSystemInfo" value="&lt;info/&gt;" />
  <parameter
     name="deviceFeaturesSystemInfo"
     value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
  <parameter name="dcache_bursts" value="false" />
  <parameter name="setting_exportPCB" value="false" />
  <parameter name="debug_jtagInstanceID" value="0" />
  <parameter name="setting_HDLSimCachesCleared" value="true" />
  <parameter name="tightlyCoupledInstructionMaster3MapParam" value="" />
  <parameter name="setting_interruptControllerType" value="Internal" />
  <parameter name="tightlyCoupledInstructionMaster2MapParam" value="" />
  <parameter name="mpu_minDataRegionSize" value="12" />
  <parameter name="tightlyCoupledInstructionMaster0AddrWidth" value="1" />
  <parameter name="dcache_victim_buf_impl" value="ram" />
  <parameter name="resetSlave" value="onchip_memory2.s1" />
  <parameter name="regfile_ramBlockType" value="Automatic" />
  <parameter name="mpu_numOfInstRegion" value="8" />
  <parameter name="setting_performanceCounter" value="false" />
  <parameter name="tightlyCoupledInstructionMaster1MapParam" value="" />
  <parameter name="setting_bit31BypassDCache" value="true" />
  <parameter name="tightlyCoupledDataMaster0AddrWidth" value="1" />
  <parameter name="mmu_ramBlockType" value="Automatic" />
  <parameter name="mmu_processIDNumBits" value="8" />
  <parameter name="resetrequest_enabled" value="true" />
  <parameter name="setting_showInternalSettings" value="false" />
  <parameter name="mmu_tlbNumWays" value="16" />
  <parameter name="dataAddrWidth" value="20" />
  <parameter name="exceptionSlave" value="onchip_memory2.s1" />
  <parameter name="setting_exportvectors" value="false" />
  <parameter name="setting_showUnpublishedSettings" value="false" />
  <parameter name="setting_extraExceptionInfo" value="false" />
  <parameter name="setting_ecc_present" value="false" />
  <parameter name="resetOffset" value="0" />
  <parameter name="debug_level" value="Level1" />
  <parameter name="dcache_bursts_derived" value="false" />
  <parameter name="setting_perfCounterWidth" value="32" />
  <parameter name="mmu_udtlbNumEntries" value="6" />
  <parameter name="setting_activateTrace_user" value="false" />
  <parameter name="setting_activateTestEndChecker" value="false" />
  <parameter name="setting_fullWaveformSignals" value="false" />
  <parameter name="translate_on" value=" &quot;synthesis translate_on&quot;  " />
  <parameter name="cpuID" value="0" />
  <parameter name="debug_embeddedPLL" value="true" />
  <parameter name="mmu_enabled" value="false" />
  <parameter name="setting_asic_synopsys_translate_on_off" value="false" />
  <parameter name="bht_ramBlockType" value="Automatic" />
  <parameter name="mpu_numOfDataRegion" value="8" />
  <parameter name="setting_oci_export_jtag_signals" value="false" />
  <parameter name="tightlyCoupledInstructionMaster0MapParam" value="" />
  <parameter name="setting_ic_ecc_present" value="true" />
  <parameter name="setting_rf_ecc_present" value="true" />
  <parameter name="icache_burstType" value="None" />
  <parameter
     name="dataSlaveMapParam"
     value="&lt;address-map&gt;&lt;slave name=&apos;sw.s1&apos; start=&apos;0x0&apos; end=&apos;0x10&apos; /&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x67100&apos; /&gt;&lt;slave name=&apos;nios2_qsys.jtag_debug_module&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; /&gt;&lt;slave name=&apos;jtag_uart.avalon_jtag_slave&apos; start=&apos;0x81000&apos; end=&apos;0x81008&apos; /&gt;&lt;slave name=&apos;sysid_qsys.control_slave&apos; start=&apos;0x81008&apos; end=&apos;0x81010&apos; /&gt;&lt;slave name=&apos;adc_ltc2308.slave&apos; start=&apos;0x81010&apos; end=&apos;0x81018&apos; /&gt;&lt;/address-map&gt;" />
  <parameter name="tightlyCoupledDataMaster1MapParam" value="" />
  <parameter name="dcache_size_derived" value="2048" />
  <parameter name="tightlyCoupledDataMaster1AddrWidth" value="1" />
  <parameter name="setting_bhtIndexPcOnly" value="false" />
  <parameter name="mpu_enabled" value="false" />
  <parameter name="setting_bigEndian" value="false" />
  <parameter name="setting_export_large_RAMs" value="false" />
  <parameter name="mmu_TLBMissExcAbsAddr" value="0" />
  <parameter name="setting_HBreakTest" value="false" />
  <parameter name="setting_preciseDivisionErrorException" value="false" />
  <parameter name="setting_clearXBitsLDNonBypass" value="true" />
  <parameter name="mpu_minInstRegionSize" value="12" />
  <parameter name="debug_assignJtagInstanceID" value="false" />
  <parameter name="mmu_uitlbNumEntries" value="4" />
  <parameter name="setting_preciseIllegalMemAccessException" value="false" />
  <parameter name="tightlyCoupledInstructionMaster3AddrWidth" value="1" />
  <parameter name="debug_debugReqSignals" value="false" />
  <parameter name="setting_removeRAMinit" value="false" />
  <parameter name="setting_illegalMemAccessDetection" value="false" />
  <parameter name="dcache_lineSize_derived" value="32" />
  <parameter name="mpu_useLimit" value="false" />
  <parameter name="tightlyCoupledInstructionMaster1AddrWidth" value="1" />
  <parameter name="exceptionAbsoluteAddr" value="262176" />
  <parameter name="setting_itcm_ecc_present" value="false" />
  <parameter name="dcache_tagramBlockType" value="Automatic" />
  <parameter name="tightlyCoupledInstructionMaster2AddrWidth" value="1" />
  <parameter name="ocimem_ramBlockType" value="Automatic" />
  <parameter name="icache_tagramBlockType" value="Automatic" />
  <parameter name="tightlyCoupledDataMaster3AddrWidth" value="1" />
  <parameter name="setting_mmu_ecc_present" value="true" />
  <parameter name="setting_activateModelChecker" value="false" />
  <parameter name="dcache_lineSize" value="32" />
  <parameter
     name="instSlaveMapParam"
     value="&lt;address-map&gt;&lt;slave name=&apos;onchip_memory2.s1&apos; start=&apos;0x40000&apos; end=&apos;0x67100&apos; /&gt;&lt;slave name=&apos;nios2_qsys.jtag_debug_module&apos; start=&apos;0x80800&apos; end=&apos;0x81000&apos; /&gt;&lt;/address-map&gt;" />
  <parameter name="instAddrWidth" value="20" />
  <parameter name="cpuReset" value="false" />
  <parameter name="setting_debugSimGen" value="false" />
  <parameter name="resetAbsoluteAddr" value="262144" />
  <parameter name="deviceFamilyName" value="Cyclone V" />
  <parameter name="mmu_TLBMissExcSlave" value="None" />
  <parameter name="impl" value="Fast" />
  <parameter name="icache_ramBlockType" value="Automatic" />
  <parameter name="debug_triggerArming" value="true" />
  <parameter name="setting_breakslaveoveride" value="false" />
  <parameter name="debug_OCIOnchipTrace" value="_128" />
  <parameter name="breakAbsoluteAddr" value="526368" />
  <parameter name="dcache_omitDataMaster" value="false" />
  <parameter name="muldiv_multiplierType" value="EmbeddedMulFast" />
  <parameter name="setting_activateTrace" value="true" />
  <parameter name="setting_dc_ecc_present" value="false" />
  <parameter name="setting_asic_enabled" value="false" />
  <parameter name="manuallyAssignCpuID" value="true" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.ocp"
       type="OTHER"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.sdc"
       type="SDC"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.v"
       type="VERILOG_ENCRYPT"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_bht_ram.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_dc_tag_ram.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ic_tag_ram.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_sysclk.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_tck.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_wrapper.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_mult_cell.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ociram_default_contents.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_oci_test_bench.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_a.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_b.mif"
       type="MIF"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_test_bench.v"
       type="VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/nios2_ip/altera_nios2/altera_nios2_qsys_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="nios2_qsys" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 11 starting:altera_nios2_qsys "submodules/DE0_NANO_SOC_QSYS_nios2_qsys"</message>
   <message level="Info" culprit="nios2_qsys">Starting RTL generation for module 'DE0_NANO_SOC_QSYS_nios2_qsys'</message>
   <message level="Info" culprit="nios2_qsys">  Generation command is [exec C:/altera/14.0/quartus/bin64/eperlcmd.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/cpu_lib -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/nios_lib -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2 -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2 -- C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/generate_rtl.epl --name=DE0_NANO_SOC_QSYS_nios2_qsys --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0001_nios2_qsys_gen/ --quartus_bindir=C:/altera/14.0/quartus/bin64 --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0001_nios2_qsys_gen//DE0_NANO_SOC_QSYS_nios2_qsys_processor_configuration.pl  --do_build_sim=0  ]</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:25 (*) Starting Nios II generation</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:25 (*)   Checking for plaintext license.</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:37 (*)   Plaintext license not found.</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:37 (*)   Checking for encrypted license (non-evaluation).</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:57 (*)   Encrypted license found.  SOF will not be time-limited.</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:57 (*)   Elaborating CPU configuration settings</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:57 (*)   Creating all objects for CPU</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:57 (*)     Testbench</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:58 (*)     Instruction decoding</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:58 (*)       Instruction fields</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:58 (*)       Instruction decodes</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:59 (*)       Signals for RTL simulation waveforms</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:59 (*)       Instruction controls</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:59 (*)     Pipeline frontend</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:52:59 (*)     Pipeline backend</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:53:03 (*)   Generating RTL from CPU objects</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:53:07 (*)   Creating encrypted RTL</message>
   <message level="Info" culprit="nios2_qsys"># 2014.12.18 15:53:09 (*) Done Nios II generation</message>
   <message level="Info" culprit="nios2_qsys">Done RTL generation for module 'DE0_NANO_SOC_QSYS_nios2_qsys'</message>
   <message level="Info" culprit="nios2_qsys"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_nios2_qsys</b> "<b>nios2_qsys</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_avalon_onchip_memory2:14.0:allowInSystemMemoryContentEditor=false,autoInitializationFileName=DE0_NANO_SOC_QSYS_onchip_memory2,blockType=AUTO,dataWidth=32,derived_gui_ram_block_type=Automatic,derived_init_file_name=DE0_NANO_SOC_QSYS_onchip_memory2.hex,derived_is_hardcopy=false,derived_set_addr_width=16,derived_set_data_width=32,deviceFamily=Cyclone V,deviceFeatures=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dualPort=false,ecc_enabled=false,initMemContent=true,initializationFileName=onchip_mem.hex,instanceID=NONE,memorySize=160000,readDuringWriteMode=DONT_CARE,resetrequest_enabled=true,simAllowMRAMContentsFile=false,simMemInitOnlyFilename=0,singleClockOperation=false,slave1Latency=1,slave2Latency=1,useNonDefaultInitFile=false,useShallowMemBlocks=false,writable=true"
   instancePathKey="DE0_NANO_SOC_QSYS:.:onchip_memory2"
   kind="altera_avalon_onchip_memory2"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_onchip_memory2">
  <parameter name="dataWidth" value="32" />
  <parameter name="ecc_enabled" value="false" />
  <parameter name="instanceID" value="NONE" />
  <parameter name="writable" value="true" />
  <parameter name="readDuringWriteMode" value="DONT_CARE" />
  <parameter name="initMemContent" value="true" />
  <parameter name="allowInSystemMemoryContentEditor" value="false" />
  <parameter name="dualPort" value="false" />
  <parameter name="slave1Latency" value="1" />
  <parameter name="resetrequest_enabled" value="true" />
  <parameter name="derived_is_hardcopy" value="false" />
  <parameter name="useNonDefaultInitFile" value="false" />
  <parameter name="slave2Latency" value="1" />
  <parameter name="derived_gui_ram_block_type" value="Automatic" />
  <parameter name="derived_set_addr_width" value="16" />
  <parameter name="simAllowMRAMContentsFile" value="false" />
  <parameter name="memorySize" value="160000" />
  <parameter name="simMemInitOnlyFilename" value="0" />
  <parameter name="initializationFileName" value="onchip_mem.hex" />
  <parameter name="deviceFamily" value="Cyclone V" />
  <parameter name="singleClockOperation" value="false" />
  <parameter name="derived_set_data_width" value="32" />
  <parameter
     name="derived_init_file_name"
     value="DE0_NANO_SOC_QSYS_onchip_memory2.hex" />
  <parameter
     name="deviceFeatures"
     value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
  <parameter name="blockType" value="AUTO" />
  <parameter name="useShallowMemBlocks" value="false" />
  <parameter
     name="autoInitializationFileName"
     value="DE0_NANO_SOC_QSYS_onchip_memory2" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_onchip_memory2.hex"
       type="HEX"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_onchip_memory2.v"
       type="VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="onchip_memory2" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 10 starting:altera_avalon_onchip_memory2 "submodules/DE0_NANO_SOC_QSYS_onchip_memory2"</message>
   <message level="Info" culprit="onchip_memory2">Starting RTL generation for module 'DE0_NANO_SOC_QSYS_onchip_memory2'</message>
   <message level="Info" culprit="onchip_memory2">  Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_onchip_memory2 --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0002_onchip_memory2_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0002_onchip_memory2_gen//DE0_NANO_SOC_QSYS_onchip_memory2_component_configuration.pl  --do_build_sim=0  ]</message>
   <message level="Info" culprit="onchip_memory2">Done RTL generation for module 'DE0_NANO_SOC_QSYS_onchip_memory2'</message>
   <message level="Info" culprit="onchip_memory2"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_avalon_onchip_memory2</b> "<b>onchip_memory2</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_avalon_sysid_qsys:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,id=0,timestamp=1418889131"
   instancePathKey="DE0_NANO_SOC_QSYS:.:sysid_qsys"
   kind="altera_avalon_sysid_qsys"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_sysid_qsys">
  <parameter name="timestamp" value="1418889131" />
  <parameter name="id" value="0" />
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_sysid_qsys.v"
       type="VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="sysid_qsys" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 9 starting:altera_avalon_sysid_qsys "submodules/DE0_NANO_SOC_QSYS_sysid_qsys"</message>
   <message level="Info" culprit="sysid_qsys"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_avalon_sysid_qsys</b> "<b>sysid_qsys</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_avalon_jtag_uart:14.0:allowMultipleConnections=false,avalonSpec=2.0,enableInteractiveInput=false,enableInteractiveOutput=false,hubInstanceID=0,legacySignalAllow=false,readBufferDepth=64,readIRQThreshold=8,simInputCharacterStream=,simInteractiveOptions=NO_INTERACTIVE_WINDOWS,useRegistersForReadBuffer=false,useRegistersForWriteBuffer=false,useRelativePathForSimFile=false,writeBufferDepth=64,writeIRQThreshold=8"
   instancePathKey="DE0_NANO_SOC_QSYS:.:jtag_uart"
   kind="altera_avalon_jtag_uart"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_jtag_uart">
  <parameter name="readIRQThreshold" value="8" />
  <parameter name="useRegistersForReadBuffer" value="false" />
  <parameter name="avalonSpec" value="2.0" />
  <parameter name="simInteractiveOptions" value="NO_INTERACTIVE_WINDOWS" />
  <parameter name="useRegistersForWriteBuffer" value="false" />
  <parameter name="legacySignalAllow" value="false" />
  <parameter name="hubInstanceID" value="0" />
  <parameter name="enableInteractiveOutput" value="false" />
  <parameter name="writeBufferDepth" value="64" />
  <parameter name="enableInteractiveInput" value="false" />
  <parameter name="writeIRQThreshold" value="8" />
  <parameter name="simInputCharacterStream" value="" />
  <parameter name="readBufferDepth" value="64" />
  <parameter name="allowMultipleConnections" value="false" />
  <parameter name="useRelativePathForSimFile" value="false" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_jtag_uart.v"
       type="VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="jtag_uart" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 8 starting:altera_avalon_jtag_uart "submodules/DE0_NANO_SOC_QSYS_jtag_uart"</message>
   <message level="Info" culprit="jtag_uart">Starting RTL generation for module 'DE0_NANO_SOC_QSYS_jtag_uart'</message>
   <message level="Info" culprit="jtag_uart">  Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_jtag_uart --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0004_jtag_uart_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0004_jtag_uart_gen//DE0_NANO_SOC_QSYS_jtag_uart_component_configuration.pl  --do_build_sim=0  ]</message>
   <message level="Info" culprit="jtag_uart">Done RTL generation for module 'DE0_NANO_SOC_QSYS_jtag_uart'</message>
   <message level="Info" culprit="jtag_uart"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_avalon_jtag_uart</b> "<b>jtag_uart</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_pll:14.0:AUTO_REFCLK_CLOCK_RATE=50000000,c_cnt_bypass_en0=false,c_cnt_bypass_en1=false,c_cnt_bypass_en10=true,c_cnt_bypass_en11=true,c_cnt_bypass_en12=true,c_cnt_bypass_en13=true,c_cnt_bypass_en14=true,c_cnt_bypass_en15=true,c_cnt_bypass_en16=true,c_cnt_bypass_en17=true,c_cnt_bypass_en2=false,c_cnt_bypass_en3=true,c_cnt_bypass_en4=true,c_cnt_bypass_en5=true,c_cnt_bypass_en6=true,c_cnt_bypass_en7=true,c_cnt_bypass_en8=true,c_cnt_bypass_en9=true,c_cnt_hi_div0=2,c_cnt_hi_div1=5,c_cnt_hi_div10=1,c_cnt_hi_div11=1,c_cnt_hi_div12=1,c_cnt_hi_div13=1,c_cnt_hi_div14=1,c_cnt_hi_div15=1,c_cnt_hi_div16=1,c_cnt_hi_div17=1,c_cnt_hi_div2=1,c_cnt_hi_div3=1,c_cnt_hi_div4=1,c_cnt_hi_div5=1,c_cnt_hi_div6=1,c_cnt_hi_div7=1,c_cnt_hi_div8=1,c_cnt_hi_div9=1,c_cnt_in_src0=ph_mux_clk,c_cnt_in_src1=ph_mux_clk,c_cnt_in_src10=ph_mux_clk,c_cnt_in_src11=ph_mux_clk,c_cnt_in_src12=ph_mux_clk,c_cnt_in_src13=ph_mux_clk,c_cnt_in_src14=ph_mux_clk,c_cnt_in_src15=ph_mux_clk,c_cnt_in_src16=ph_mux_clk,c_cnt_in_src17=ph_mux_clk,c_cnt_in_src2=ph_mux_clk,c_cnt_in_src3=ph_mux_clk,c_cnt_in_src4=ph_mux_clk,c_cnt_in_src5=ph_mux_clk,c_cnt_in_src6=ph_mux_clk,c_cnt_in_src7=ph_mux_clk,c_cnt_in_src8=ph_mux_clk,c_cnt_in_src9=ph_mux_clk,c_cnt_lo_div0=2,c_cnt_lo_div1=5,c_cnt_lo_div10=1,c_cnt_lo_div11=1,c_cnt_lo_div12=1,c_cnt_lo_div13=1,c_cnt_lo_div14=1,c_cnt_lo_div15=1,c_cnt_lo_div16=1,c_cnt_lo_div17=1,c_cnt_lo_div2=1,c_cnt_lo_div3=1,c_cnt_lo_div4=1,c_cnt_lo_div5=1,c_cnt_lo_div6=1,c_cnt_lo_div7=1,c_cnt_lo_div8=1,c_cnt_lo_div9=1,c_cnt_odd_div_duty_en0=false,c_cnt_odd_div_duty_en1=false,c_cnt_odd_div_duty_en10=false,c_cnt_odd_div_duty_en11=false,c_cnt_odd_div_duty_en12=false,c_cnt_odd_div_duty_en13=false,c_cnt_odd_div_duty_en14=false,c_cnt_odd_div_duty_en15=false,c_cnt_odd_div_duty_en16=false,c_cnt_odd_div_duty_en17=false,c_cnt_odd_div_duty_en2=false,c_cnt_odd_div_duty_en3=false,c_cnt_odd_div_duty_en4=false,c_cnt_odd_div_duty_en5=false,c_cnt_odd_div_duty_en6=false,c_cnt_odd_div_duty_en7=false,c_cnt_odd_div_duty_en8=false,c_cnt_odd_div_duty_en9=false,c_cnt_ph_mux_prst0=0,c_cnt_ph_mux_prst1=0,c_cnt_ph_mux_prst10=0,c_cnt_ph_mux_prst11=0,c_cnt_ph_mux_prst12=0,c_cnt_ph_mux_prst13=0,c_cnt_ph_mux_prst14=0,c_cnt_ph_mux_prst15=0,c_cnt_ph_mux_prst16=0,c_cnt_ph_mux_prst17=0,c_cnt_ph_mux_prst2=0,c_cnt_ph_mux_prst3=0,c_cnt_ph_mux_prst4=0,c_cnt_ph_mux_prst5=0,c_cnt_ph_mux_prst6=0,c_cnt_ph_mux_prst7=0,c_cnt_ph_mux_prst8=0,c_cnt_ph_mux_prst9=0,c_cnt_prst0=1,c_cnt_prst1=1,c_cnt_prst10=1,c_cnt_prst11=1,c_cnt_prst12=1,c_cnt_prst13=1,c_cnt_prst14=1,c_cnt_prst15=1,c_cnt_prst16=1,c_cnt_prst17=1,c_cnt_prst2=1,c_cnt_prst3=1,c_cnt_prst4=1,c_cnt_prst5=1,c_cnt_prst6=1,c_cnt_prst7=1,c_cnt_prst8=1,c_cnt_prst9=1,debug_print_output=false,debug_use_rbc_taf_method=false,device=5CSEMA4U23C6,device_family=Cyclone V,duty_cycle0=50,duty_cycle1=50,duty_cycle10=50,duty_cycle11=50,duty_cycle12=50,duty_cycle13=50,duty_cycle14=50,duty_cycle15=50,duty_cycle16=50,duty_cycle17=50,duty_cycle2=50,duty_cycle3=50,duty_cycle4=50,duty_cycle5=50,duty_cycle6=50,duty_cycle7=50,duty_cycle8=50,duty_cycle9=50,fractional_vco_multiplier=false,gui_active_clk=false,gui_actual_divide_factor0=4,gui_actual_divide_factor1=10,gui_actual_divide_factor10=1,gui_actual_divide_factor11=1,gui_actual_divide_factor12=1,gui_actual_divide_factor13=1,gui_actual_divide_factor14=1,gui_actual_divide_factor15=1,gui_actual_divide_factor16=1,gui_actual_divide_factor17=1,gui_actual_divide_factor2=2,gui_actual_divide_factor3=1,gui_actual_divide_factor4=1,gui_actual_divide_factor5=1,gui_actual_divide_factor6=1,gui_actual_divide_factor7=1,gui_actual_divide_factor8=1,gui_actual_divide_factor9=1,gui_actual_frac_multiply_factor0=1,gui_actual_frac_multiply_factor1=1,gui_actual_frac_multiply_factor10=1,gui_actual_frac_multiply_factor11=1,gui_actual_frac_multiply_factor12=1,gui_actual_frac_multiply_factor13=1,gui_actual_frac_multiply_factor14=1,gui_actual_frac_multiply_factor15=1,gui_actual_frac_multiply_factor16=1,gui_actual_frac_multiply_factor17=1,gui_actual_frac_multiply_factor2=1,gui_actual_frac_multiply_factor3=1,gui_actual_frac_multiply_factor4=1,gui_actual_frac_multiply_factor5=1,gui_actual_frac_multiply_factor6=1,gui_actual_frac_multiply_factor7=1,gui_actual_frac_multiply_factor8=1,gui_actual_frac_multiply_factor9=1,gui_actual_multiply_factor0=8,gui_actual_multiply_factor1=8,gui_actual_multiply_factor10=1,gui_actual_multiply_factor11=1,gui_actual_multiply_factor12=1,gui_actual_multiply_factor13=1,gui_actual_multiply_factor14=1,gui_actual_multiply_factor15=1,gui_actual_multiply_factor16=1,gui_actual_multiply_factor17=1,gui_actual_multiply_factor2=8,gui_actual_multiply_factor3=1,gui_actual_multiply_factor4=1,gui_actual_multiply_factor5=1,gui_actual_multiply_factor6=1,gui_actual_multiply_factor7=1,gui_actual_multiply_factor8=1,gui_actual_multiply_factor9=1,gui_actual_output_clock_frequency0=0 MHz,gui_actual_output_clock_frequency1=0 MHz,gui_actual_output_clock_frequency10=0 MHz,gui_actual_output_clock_frequency11=0 MHz,gui_actual_output_clock_frequency12=0 MHz,gui_actual_output_clock_frequency13=0 MHz,gui_actual_output_clock_frequency14=0 MHz,gui_actual_output_clock_frequency15=0 MHz,gui_actual_output_clock_frequency16=0 MHz,gui_actual_output_clock_frequency17=0 MHz,gui_actual_output_clock_frequency2=0 MHz,gui_actual_output_clock_frequency3=0 MHz,gui_actual_output_clock_frequency4=0 MHz,gui_actual_output_clock_frequency5=0 MHz,gui_actual_output_clock_frequency6=0 MHz,gui_actual_output_clock_frequency7=0 MHz,gui_actual_output_clock_frequency8=0 MHz,gui_actual_output_clock_frequency9=0 MHz,gui_actual_phase_shift0=0,gui_actual_phase_shift1=0,gui_actual_phase_shift10=0,gui_actual_phase_shift11=0,gui_actual_phase_shift12=0,gui_actual_phase_shift13=0,gui_actual_phase_shift14=0,gui_actual_phase_shift15=0,gui_actual_phase_shift16=0,gui_actual_phase_shift17=0,gui_actual_phase_shift2=0,gui_actual_phase_shift3=0,gui_actual_phase_shift4=0,gui_actual_phase_shift5=0,gui_actual_phase_shift6=0,gui_actual_phase_shift7=0,gui_actual_phase_shift8=0,gui_actual_phase_shift9=0,gui_cascade_counter0=false,gui_cascade_counter1=false,gui_cascade_counter10=false,gui_cascade_counter11=false,gui_cascade_counter12=false,gui_cascade_counter13=false,gui_cascade_counter14=false,gui_cascade_counter15=false,gui_cascade_counter16=false,gui_cascade_counter17=false,gui_cascade_counter2=false,gui_cascade_counter3=false,gui_cascade_counter4=false,gui_cascade_counter5=false,gui_cascade_counter6=false,gui_cascade_counter7=false,gui_cascade_counter8=false,gui_cascade_counter9=false,gui_cascade_outclk_index=0,gui_channel_spacing=0.0,gui_clk_bad=false,gui_device_speed_grade=2,gui_divide_factor_c0=1,gui_divide_factor_c1=1,gui_divide_factor_c10=1,gui_divide_factor_c11=1,gui_divide_factor_c12=1,gui_divide_factor_c13=1,gui_divide_factor_c14=1,gui_divide_factor_c15=1,gui_divide_factor_c16=1,gui_divide_factor_c17=1,gui_divide_factor_c2=1,gui_divide_factor_c3=1,gui_divide_factor_c4=1,gui_divide_factor_c5=1,gui_divide_factor_c6=1,gui_divide_factor_c7=1,gui_divide_factor_c8=1,gui_divide_factor_c9=1,gui_divide_factor_n=1,gui_dps_cntr=C0,gui_dps_dir=Positive,gui_dps_num=1,gui_dsm_out_sel=1st_order,gui_duty_cycle0=50,gui_duty_cycle1=50,gui_duty_cycle10=50,gui_duty_cycle11=50,gui_duty_cycle12=50,gui_duty_cycle13=50,gui_duty_cycle14=50,gui_duty_cycle15=50,gui_duty_cycle16=50,gui_duty_cycle17=50,gui_duty_cycle2=50,gui_duty_cycle3=50,gui_duty_cycle4=50,gui_duty_cycle5=50,gui_duty_cycle6=50,gui_duty_cycle7=50,gui_duty_cycle8=50,gui_duty_cycle9=50,gui_en_adv_params=false,gui_en_dps_ports=false,gui_en_lvds_ports=false,gui_en_phout_ports=false,gui_en_reconf=false,gui_enable_cascade_in=false,gui_enable_cascade_out=false,gui_enable_mif_dps=false,gui_feedback_clock=Global Clock,gui_frac_multiply_factor=1,gui_fractional_cout=32,gui_mif_generate=false,gui_multiply_factor=1,gui_number_of_clocks=3,gui_operation_mode=normal,gui_output_clock_frequency0=100.0,gui_output_clock_frequency1=40.0,gui_output_clock_frequency10=100.0,gui_output_clock_frequency11=100.0,gui_output_clock_frequency12=100.0,gui_output_clock_frequency13=100.0,gui_output_clock_frequency14=100.0,gui_output_clock_frequency15=100.0,gui_output_clock_frequency16=100.0,gui_output_clock_frequency17=100.0,gui_output_clock_frequency2=200.0,gui_output_clock_frequency3=120.0,gui_output_clock_frequency4=100.0,gui_output_clock_frequency5=100.0,gui_output_clock_frequency6=100.0,gui_output_clock_frequency7=100.0,gui_output_clock_frequency8=100.0,gui_output_clock_frequency9=100.0,gui_parameter_list=M-Counter Hi Divide,M-Counter Low Divide,N-Counter Hi Divide,N-Counter Low Divide,M-Counter Bypass Enable,N-Counter Bypass Enable,M-Counter Odd Divide Enable,N-Counter Odd Divide Enable,C-Counter-0 Hi Divide,C-Counter-0 Low Divide,C-Counter-0 Coarse Phase Shift,C-Counter-0 VCO Phase Tap,C-Counter-0 Input Source,C-Counter-0 Bypass Enable,C-Counter-0 Odd Divide Enable,C-Counter-1 Hi Divide,C-Counter-1 Low Divide,C-Counter-1 Coarse Phase Shift,C-Counter-1 VCO Phase Tap,C-Counter-1 Input Source,C-Counter-1 Bypass Enable,C-Counter-1 Odd Divide Enable,C-Counter-2 Hi Divide,C-Counter-2 Low Divide,C-Counter-2 Coarse Phase Shift,C-Counter-2 VCO Phase Tap,C-Counter-2 Input Source,C-Counter-2 Bypass Enable,C-Counter-2 Odd Divide Enable,VCO Post Divide Counter Enable,Charge Pump current (uA),Loop Filter Bandwidth Resistor (Ohms) ,PLL Output VCO Frequency,K-Fractional Division Value (DSM),Feedback Clock Type,Feedback Clock MUX 1,Feedback Clock MUX 2,M Counter Source MUX,PLL Auto Reset,gui_parameter_values=4,4,256,256,false,true,false,false,2,2,1,0,ph_mux_clk,false,false,5,5,1,0,ph_mux_clk,false,false,1,1,1,0,ph_mux_clk,false,false,2,20,4000,400.0 MHz,1,gclk,glb,fb_1,ph_mux_clk,false,gui_phase_shift0=0,gui_phase_shift1=0,gui_phase_shift10=0,gui_phase_shift11=0,gui_phase_shift12=0,gui_phase_shift13=0,gui_phase_shift14=0,gui_phase_shift15=0,gui_phase_shift16=0,gui_phase_shift17=0,gui_phase_shift2=0,gui_phase_shift3=0,gui_phase_shift4=0,gui_phase_shift5=0,gui_phase_shift6=0,gui_phase_shift7=0,gui_phase_shift8=0,gui_phase_shift9=0,gui_phase_shift_deg0=0.0,gui_phase_shift_deg1=0.0,gui_phase_shift_deg10=0.0,gui_phase_shift_deg11=0.0,gui_phase_shift_deg12=0.0,gui_phase_shift_deg13=0.0,gui_phase_shift_deg14=0.0,gui_phase_shift_deg15=0.0,gui_phase_shift_deg16=0.0,gui_phase_shift_deg17=0.0,gui_phase_shift_deg2=0.0,gui_phase_shift_deg3=0.0,gui_phase_shift_deg4=0.0,gui_phase_shift_deg5=0.0,gui_phase_shift_deg6=0.0,gui_phase_shift_deg7=0.0,gui_phase_shift_deg8=0.0,gui_phase_shift_deg9=0.0,gui_phout_division=1,gui_pll_auto_reset=Off,gui_pll_bandwidth_preset=Auto,gui_pll_cascading_mode=Create an adjpllin signal to connect with an upstream PLL,gui_pll_mode=Integer-N PLL,gui_ps_units0=ps,gui_ps_units1=ps,gui_ps_units10=ps,gui_ps_units11=ps,gui_ps_units12=ps,gui_ps_units13=ps,gui_ps_units14=ps,gui_ps_units15=ps,gui_ps_units16=ps,gui_ps_units17=ps,gui_ps_units2=ps,gui_ps_units3=ps,gui_ps_units4=ps,gui_ps_units5=ps,gui_ps_units6=ps,gui_ps_units7=ps,gui_ps_units8=ps,gui_ps_units9=ps,gui_refclk1_frequency=100.0,gui_refclk_switch=false,gui_reference_clock_frequency=50.0,gui_switchover_delay=0,gui_switchover_mode=Automatic Switchover,gui_use_locked=true,m_cnt_bypass_en=false,m_cnt_hi_div=4,m_cnt_lo_div=4,m_cnt_odd_div_duty_en=false,mimic_fbclk_type=gclk,n_cnt_bypass_en=true,n_cnt_hi_div=256,n_cnt_lo_div=256,n_cnt_odd_div_duty_en=false,number_of_cascade_counters=0,number_of_clocks=3,operation_mode=normal,output_clock_frequency0=100.000000 MHz,output_clock_frequency1=40.000000 MHz,output_clock_frequency10=0 MHz,output_clock_frequency11=0 MHz,output_clock_frequency12=0 MHz,output_clock_frequency13=0 MHz,output_clock_frequency14=0 MHz,output_clock_frequency15=0 MHz,output_clock_frequency16=0 MHz,output_clock_frequency17=0 MHz,output_clock_frequency2=200.000000 MHz,output_clock_frequency3=0 MHz,output_clock_frequency4=0 MHz,output_clock_frequency5=0 MHz,output_clock_frequency6=0 MHz,output_clock_frequency7=0 MHz,output_clock_frequency8=0 MHz,output_clock_frequency9=0 MHz,phase_shift0=0 ps,phase_shift1=0 ps,phase_shift10=0 ps,phase_shift11=0 ps,phase_shift12=0 ps,phase_shift13=0 ps,phase_shift14=0 ps,phase_shift15=0 ps,phase_shift16=0 ps,phase_shift17=0 ps,phase_shift2=0 ps,phase_shift3=0 ps,phase_shift4=0 ps,phase_shift5=0 ps,phase_shift6=0 ps,phase_shift7=0 ps,phase_shift8=0 ps,phase_shift9=0 ps,pll_auto_clk_sw_en=false,pll_bwctrl=4000,pll_clk_loss_sw_en=false,pll_clk_sw_dly=0,pll_clkin_0_src=clk_0,pll_clkin_1_src=clk_0,pll_cp_current=20,pll_dsm_out_sel=1st_order,pll_fbclk_mux_1=glb,pll_fbclk_mux_2=fb_1,pll_fractional_cout=32,pll_fractional_division=1,pll_m_cnt_in_src=ph_mux_clk,pll_manu_clk_sw_en=false,pll_output_clk_frequency=400.0 MHz,pll_slf_rst=false,pll_subtype=General,pll_type=General,pll_vco_div=2,pll_vcoph_div=1,refclk1_frequency=100.0 MHz,reference_clock_frequency=50.0 MHz"
   instancePathKey="DE0_NANO_SOC_QSYS:.:pll_sys"
   kind="altera_pll"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_pll_sys">
  <parameter name="c_cnt_hi_div15" value="1" />
  <parameter name="gui_duty_cycle4" value="50" />
  <parameter name="c_cnt_hi_div14" value="1" />
  <parameter name="gui_duty_cycle3" value="50" />
  <parameter name="c_cnt_hi_div17" value="1" />
  <parameter name="gui_duty_cycle2" value="50" />
  <parameter name="c_cnt_hi_div16" value="1" />
  <parameter name="gui_duty_cycle1" value="50" />
  <parameter name="gui_phase_shift17" value="0" />
  <parameter name="gui_duty_cycle8" value="50" />
  <parameter name="gui_duty_cycle7" value="50" />
  <parameter name="gui_duty_cycle6" value="50" />
  <parameter name="gui_duty_cycle5" value="50" />
  <parameter name="gui_duty_cycle9" value="50" />
  <parameter name="pll_manu_clk_sw_en" value="false" />
  <parameter name="c_cnt_hi_div11" value="1" />
  <parameter name="c_cnt_hi_div10" value="1" />
  <parameter name="c_cnt_hi_div13" value="1" />
  <parameter name="c_cnt_hi_div12" value="1" />
  <parameter name="gui_actual_phase_shift0" value="0" />
  <parameter name="gui_output_clock_frequency9" value="100.0" />
  <parameter name="m_cnt_bypass_en" value="false" />
  <parameter name="gui_output_clock_frequency4" value="100.0" />
  <parameter name="gui_output_clock_frequency3" value="120.0" />
  <parameter name="gui_output_clock_frequency2" value="200.0" />
  <parameter name="gui_output_clock_frequency1" value="40.0" />
  <parameter name="c_cnt_prst9" value="1" />
  <parameter name="gui_output_clock_frequency8" value="100.0" />
  <parameter name="c_cnt_prst8" value="1" />
  <parameter name="gui_output_clock_frequency7" value="100.0" />
  <parameter name="gui_duty_cycle0" value="50" />
  <parameter name="c_cnt_prst7" value="1" />
  <parameter name="gui_output_clock_frequency6" value="100.0" />
  <parameter name="c_cnt_prst6" value="1" />
  <parameter name="gui_output_clock_frequency5" value="100.0" />
  <parameter name="c_cnt_prst5" value="1" />
  <parameter name="gui_feedback_clock" value="Global Clock" />
  <parameter name="gui_output_clock_frequency0" value="100.0" />
  <parameter name="gui_phase_shift10" value="0" />
  <parameter name="gui_phase_shift11" value="0" />
  <parameter name="gui_phase_shift12" value="0" />
  <parameter name="gui_phase_shift13" value="0" />
  <parameter name="gui_phase_shift14" value="0" />
  <parameter name="gui_phase_shift15" value="0" />
  <parameter name="gui_phase_shift16" value="0" />
  <parameter name="c_cnt_in_src10" value="ph_mux_clk" />
  <parameter name="c_cnt_in_src14" value="ph_mux_clk" />
  <parameter name="c_cnt_in_src13" value="ph_mux_clk" />
  <parameter name="c_cnt_in_src12" value="ph_mux_clk" />
  <parameter name="c_cnt_in_src11" value="ph_mux_clk" />
  <parameter name="pll_clk_sw_dly" value="0" />
  <parameter name="gui_actual_phase_shift14" value="0" />
  <parameter name="gui_actual_phase_shift15" value="0" />
  <parameter name="gui_actual_phase_shift16" value="0" />
  <parameter name="gui_actual_phase_shift17" value="0" />
  <parameter name="gui_actual_phase_shift10" value="0" />
  <parameter name="pll_cp_current" value="20" />
  <parameter name="gui_actual_phase_shift11" value="0" />
  <parameter name="gui_actual_phase_shift12" value="0" />
  <parameter name="gui_actual_phase_shift13" value="0" />
  <parameter name="pll_clkin_1_src" value="clk_0" />
  <parameter name="c_cnt_in_src15" value="ph_mux_clk" />
  <parameter name="gui_actual_phase_shift8" value="0" />
  <parameter name="c_cnt_in_src16" value="ph_mux_clk" />
  <parameter name="gui_cascade_counter9" value="false" />
  <parameter name="gui_actual_phase_shift7" value="0" />
  <parameter name="c_cnt_in_src17" value="ph_mux_clk" />
  <parameter name="gui_actual_phase_shift6" value="0" />
  <parameter name="gui_actual_phase_shift5" value="0" />
  <parameter name="gui_actual_phase_shift4" value="0" />
  <parameter name="gui_cascade_counter6" value="false" />
  <parameter name="gui_actual_multiply_factor17" value="1" />
  <parameter name="pll_fractional_division" value="1" />
  <parameter name="gui_actual_phase_shift3" value="0" />
  <parameter name="gui_cascade_counter5" value="false" />
  <parameter name="gui_actual_multiply_factor16" value="1" />
  <parameter name="gui_cascade_counter8" value="false" />
  <parameter name="gui_actual_phase_shift2" value="0" />
  <parameter name="gui_actual_multiply_factor15" value="1" />
  <parameter name="gui_actual_multiply_factor14" value="1" />
  <parameter name="gui_cascade_counter7" value="false" />
  <parameter name="gui_actual_phase_shift1" value="0" />
  <parameter name="gui_cascade_counter2" value="false" />
  <parameter name="gui_cascade_counter1" value="false" />
  <parameter name="gui_cascade_counter4" value="false" />
  <parameter name="gui_cascade_counter3" value="false" />
  <parameter name="gui_cascade_counter0" value="false" />
  <parameter name="gui_actual_phase_shift9" value="0" />
  <parameter name="c_cnt_prst10" value="1" />
  <parameter name="c_cnt_prst11" value="1" />
  <parameter name="c_cnt_prst12" value="1" />
  <parameter name="c_cnt_prst13" value="1" />
  <parameter name="gui_actual_multiply_factor11" value="1" />
  <parameter name="gui_actual_multiply_factor10" value="1" />
  <parameter name="gui_actual_multiply_factor13" value="1" />
  <parameter name="gui_actual_multiply_factor12" value="1" />
  <parameter name="duty_cycle14" value="50" />
  <parameter name="gui_switchover_mode" value="Automatic Switchover" />
  <parameter name="duty_cycle15" value="50" />
  <parameter name="duty_cycle16" value="50" />
  <parameter name="duty_cycle17" value="50" />
  <parameter name="c_cnt_prst14" value="1" />
  <parameter name="c_cnt_prst15" value="1" />
  <parameter name="c_cnt_prst16" value="1" />
  <parameter name="n_cnt_odd_div_duty_en" value="false" />
  <parameter name="c_cnt_prst17" value="1" />
  <parameter name="output_clock_frequency0" value="100.000000 MHz" />
  <parameter name="output_clock_frequency2" value="200.000000 MHz" />
  <parameter name="output_clock_frequency1" value="40.000000 MHz" />
  <parameter name="output_clock_frequency4" value="0 MHz" />
  <parameter name="output_clock_frequency3" value="0 MHz" />
  <parameter name="output_clock_frequency6" value="0 MHz" />
  <parameter name="output_clock_frequency5" value="0 MHz" />
  <parameter name="output_clock_frequency8" value="0 MHz" />
  <parameter name="output_clock_frequency7" value="0 MHz" />
  <parameter name="output_clock_frequency9" value="0 MHz" />
  <parameter name="duty_cycle13" value="50" />
  <parameter name="duty_cycle12" value="50" />
  <parameter name="duty_cycle11" value="50" />
  <parameter name="duty_cycle10" value="50" />
  <parameter name="reference_clock_frequency" value="50.0 MHz" />
  <parameter name="gui_en_dps_ports" value="false" />
  <parameter name="pll_auto_clk_sw_en" value="false" />
  <parameter name="gui_number_of_clocks" value="3" />
  <parameter name="c_cnt_odd_div_duty_en4" value="false" />
  <parameter name="c_cnt_odd_div_duty_en5" value="false" />
  <parameter name="c_cnt_odd_div_duty_en2" value="false" />
  <parameter name="c_cnt_odd_div_duty_en3" value="false" />
  <parameter name="c_cnt_odd_div_duty_en8" value="false" />
  <parameter name="c_cnt_odd_div_duty_en9" value="false" />
  <parameter name="c_cnt_odd_div_duty_en6" value="false" />
  <parameter name="c_cnt_odd_div_duty_en7" value="false" />
  <parameter name="c_cnt_prst0" value="1" />
  <parameter name="c_cnt_prst2" value="1" />
  <parameter name="c_cnt_prst1" value="1" />
  <parameter name="c_cnt_prst4" value="1" />
  <parameter name="c_cnt_prst3" value="1" />
  <parameter name="gui_enable_cascade_out" value="false" />
  <parameter name="c_cnt_odd_div_duty_en1" value="false" />
  <parameter name="c_cnt_odd_div_duty_en0" value="false" />
  <parameter name="operation_mode" value="normal" />
  <parameter name="gui_pll_auto_reset" value="Off" />
  <parameter name="m_cnt_odd_div_duty_en" value="false" />
  <parameter name="n_cnt_lo_div" value="256" />
  <parameter name="gui_actual_multiply_factor9" value="1" />
  <parameter name="gui_actual_multiply_factor8" value="1" />
  <parameter name="gui_actual_multiply_factor7" value="1" />
  <parameter name="gui_actual_multiply_factor6" value="1" />
  <parameter name="pll_clk_loss_sw_en" value="false" />
  <parameter name="gui_actual_multiply_factor5" value="1" />
  <parameter name="gui_actual_multiply_factor4" value="1" />
  <parameter name="gui_actual_multiply_factor3" value="1" />
  <parameter name="gui_actual_multiply_factor2" value="8" />
  <parameter name="gui_actual_multiply_factor1" value="8" />
  <parameter name="gui_active_clk" value="false" />
  <parameter name="gui_actual_multiply_factor0" value="8" />
  <parameter name="gui_output_clock_frequency10" value="100.0" />
  <parameter name="gui_output_clock_frequency11" value="100.0" />
  <parameter name="gui_output_clock_frequency12" value="100.0" />
  <parameter name="gui_use_locked" value="true" />
  <parameter name="gui_output_clock_frequency13" value="100.0" />
  <parameter name="gui_output_clock_frequency14" value="100.0" />
  <parameter name="gui_output_clock_frequency15" value="100.0" />
  <parameter name="gui_output_clock_frequency16" value="100.0" />
  <parameter name="gui_output_clock_frequency17" value="100.0" />
  <parameter name="pll_slf_rst" value="false" />
  <parameter name="c_cnt_hi_div0" value="2" />
  <parameter name="c_cnt_hi_div2" value="1" />
  <parameter name="c_cnt_hi_div1" value="5" />
  <parameter name="c_cnt_hi_div4" value="1" />
  <parameter name="c_cnt_hi_div3" value="1" />
  <parameter name="pll_subtype" value="General" />
  <parameter name="gui_ps_units9" value="ps" />
  <parameter name="gui_ps_units7" value="ps" />
  <parameter name="gui_ps_units8" value="ps" />
  <parameter name="gui_frac_multiply_factor" value="1" />
  <parameter name="c_cnt_hi_div5" value="1" />
  <parameter name="c_cnt_hi_div6" value="1" />
  <parameter name="c_cnt_hi_div7" value="1" />
  <parameter name="c_cnt_hi_div8" value="1" />
  <parameter name="c_cnt_hi_div9" value="1" />
  <parameter name="pll_type" value="General" />
  <parameter name="pll_dsm_out_sel" value="1st_order" />
  <parameter name="gui_ps_units4" value="ps" />
  <parameter name="gui_ps_units3" value="ps" />
  <parameter name="gui_ps_units6" value="ps" />
  <parameter name="gui_ps_units5" value="ps" />
  <parameter name="gui_ps_units0" value="ps" />
  <parameter name="gui_ps_units2" value="ps" />
  <parameter name="gui_ps_units1" value="ps" />
  <parameter name="phase_shift9" value="0 ps" />
  <parameter name="phase_shift8" value="0 ps" />
  <parameter name="phase_shift7" value="0 ps" />
  <parameter name="phase_shift6" value="0 ps" />
  <parameter name="gui_enable_cascade_in" value="false" />
  <parameter name="phase_shift12" value="0 ps" />
  <parameter name="phase_shift11" value="0 ps" />
  <parameter name="phase_shift10" value="0 ps" />
  <parameter name="phase_shift1" value="0 ps" />
  <parameter name="phase_shift0" value="0 ps" />
  <parameter name="c_cnt_ph_mux_prst9" value="0" />
  <parameter name="c_cnt_ph_mux_prst8" value="0" />
  <parameter name="c_cnt_ph_mux_prst7" value="0" />
  <parameter name="phase_shift5" value="0 ps" />
  <parameter name="phase_shift4" value="0 ps" />
  <parameter name="phase_shift3" value="0 ps" />
  <parameter name="phase_shift2" value="0 ps" />
  <parameter name="c_cnt_ph_mux_prst1" value="0" />
  <parameter name="c_cnt_in_src5" value="ph_mux_clk" />
  <parameter name="c_cnt_ph_mux_prst2" value="0" />
  <parameter name="c_cnt_in_src4" value="ph_mux_clk" />
  <parameter name="c_cnt_in_src7" value="ph_mux_clk" />
  <parameter name="c_cnt_ph_mux_prst0" value="0" />
  <parameter name="c_cnt_in_src6" value="ph_mux_clk" />
  <parameter name="c_cnt_ph_mux_prst5" value="0" />
  <parameter name="c_cnt_in_src9" value="ph_mux_clk" />
  <parameter name="c_cnt_ph_mux_prst6" value="0" />
  <parameter name="c_cnt_in_src8" value="ph_mux_clk" />
  <parameter name="c_cnt_ph_mux_prst3" value="0" />
  <parameter name="c_cnt_ph_mux_prst4" value="0" />
  <parameter name="gui_channel_spacing" value="0.0" />
  <parameter name="c_cnt_in_src1" value="ph_mux_clk" />
  <parameter name="c_cnt_in_src0" value="ph_mux_clk" />
  <parameter name="c_cnt_in_src3" value="ph_mux_clk" />
  <parameter name="c_cnt_in_src2" value="ph_mux_clk" />
  <parameter name="gui_multiply_factor" value="1" />
  <parameter
     name="gui_pll_cascading_mode"
     value="Create an adjpllin signal to connect with an upstream PLL" />
  <parameter name="phase_shift13" value="0 ps" />
  <parameter name="phase_shift14" value="0 ps" />
  <parameter name="phase_shift15" value="0 ps" />
  <parameter name="phase_shift16" value="0 ps" />
  <parameter name="phase_shift17" value="0 ps" />
  <parameter name="c_cnt_lo_div11" value="1" />
  <parameter name="gui_duty_cycle10" value="50" />
  <parameter name="gui_duty_cycle11" value="50" />
  <parameter name="c_cnt_lo_div10" value="1" />
  <parameter name="gui_duty_cycle12" value="50" />
  <parameter name="gui_duty_cycle13" value="50" />
  <parameter name="gui_ps_units11" value="ps" />
  <parameter name="gui_duty_cycle14" value="50" />
  <parameter name="gui_ps_units10" value="ps" />
  <parameter name="gui_duty_cycle15" value="50" />
  <parameter name="gui_duty_cycle16" value="50" />
  <parameter name="gui_duty_cycle17" value="50" />
  <parameter name="gui_ps_units15" value="ps" />
  <parameter name="gui_pll_bandwidth_preset" value="Auto" />
  <parameter name="gui_ps_units14" value="ps" />
  <parameter name="c_cnt_lo_div17" value="1" />
  <parameter name="gui_ps_units13" value="ps" />
  <parameter name="c_cnt_lo_div16" value="1" />
  <parameter name="gui_ps_units12" value="ps" />
  <parameter name="c_cnt_lo_div15" value="1" />
  <parameter name="c_cnt_lo_div14" value="1" />
  <parameter name="gui_enable_mif_dps" value="false" />
  <parameter name="c_cnt_lo_div13" value="1" />
  <parameter name="gui_ps_units17" value="ps" />
  <parameter name="c_cnt_lo_div12" value="1" />
  <parameter name="gui_ps_units16" value="ps" />
  <parameter name="c_cnt_odd_div_duty_en13" value="false" />
  <parameter name="c_cnt_odd_div_duty_en14" value="false" />
  <parameter name="c_cnt_odd_div_duty_en15" value="false" />
  <parameter name="pll_fractional_cout" value="32" />
  <parameter name="gui_actual_frac_multiply_factor0" value="1" />
  <parameter name="c_cnt_odd_div_duty_en16" value="false" />
  <parameter name="gui_actual_frac_multiply_factor1" value="1" />
  <parameter name="gui_actual_frac_multiply_factor2" value="1" />
  <parameter name="output_clock_frequency13" value="0 MHz" />
  <parameter name="gui_actual_frac_multiply_factor3" value="1" />
  <parameter name="output_clock_frequency12" value="0 MHz" />
  <parameter name="c_cnt_odd_div_duty_en10" value="false" />
  <parameter name="c_cnt_odd_div_duty_en11" value="false" />
  <parameter name="gui_actual_frac_multiply_factor4" value="1" />
  <parameter name="output_clock_frequency11" value="0 MHz" />
  <parameter name="c_cnt_odd_div_duty_en12" value="false" />
  <parameter name="gui_actual_frac_multiply_factor5" value="1" />
  <parameter name="output_clock_frequency10" value="0 MHz" />
  <parameter name="c_cnt_odd_div_duty_en17" value="false" />
  <parameter name="gui_divide_factor_c14" value="1" />
  <parameter name="gui_divide_factor_c15" value="1" />
  <parameter name="gui_divide_factor_c16" value="1" />
  <parameter name="gui_divide_factor_c17" value="1" />
  <parameter name="gui_reference_clock_frequency" value="50.0" />
  <parameter name="pll_output_clk_frequency" value="400.0 MHz" />
  <parameter name="gui_actual_frac_multiply_factor7" value="1" />
  <parameter name="output_clock_frequency16" value="0 MHz" />
  <parameter name="gui_actual_frac_multiply_factor6" value="1" />
  <parameter name="output_clock_frequency17" value="0 MHz" />
  <parameter name="gui_actual_frac_multiply_factor9" value="1" />
  <parameter name="output_clock_frequency14" value="0 MHz" />
  <parameter name="gui_actual_frac_multiply_factor8" value="1" />
  <parameter name="output_clock_frequency15" value="0 MHz" />
  <parameter name="gui_divide_factor_c10" value="1" />
  <parameter name="gui_divide_factor_c11" value="1" />
  <parameter name="gui_divide_factor_c12" value="1" />
  <parameter name="gui_divide_factor_c13" value="1" />
  <parameter name="pll_clkin_0_src" value="clk_0" />
  <parameter name="device_family" value="Cyclone V" />
  <parameter
     name="gui_parameter_list"
     value="M-Counter Hi Divide,M-Counter Low Divide,N-Counter Hi Divide,N-Counter Low Divide,M-Counter Bypass Enable,N-Counter Bypass Enable,M-Counter Odd Divide Enable,N-Counter Odd Divide Enable,C-Counter-0 Hi Divide,C-Counter-0 Low Divide,C-Counter-0 Coarse Phase Shift,C-Counter-0 VCO Phase Tap,C-Counter-0 Input Source,C-Counter-0 Bypass Enable,C-Counter-0 Odd Divide Enable,C-Counter-1 Hi Divide,C-Counter-1 Low Divide,C-Counter-1 Coarse Phase Shift,C-Counter-1 VCO Phase Tap,C-Counter-1 Input Source,C-Counter-1 Bypass Enable,C-Counter-1 Odd Divide Enable,C-Counter-2 Hi Divide,C-Counter-2 Low Divide,C-Counter-2 Coarse Phase Shift,C-Counter-2 VCO Phase Tap,C-Counter-2 Input Source,C-Counter-2 Bypass Enable,C-Counter-2 Odd Divide Enable,VCO Post Divide Counter Enable,Charge Pump current (uA),Loop Filter Bandwidth Resistor (Ohms) ,PLL Output VCO Frequency,K-Fractional Division Value (DSM),Feedback Clock Type,Feedback Clock MUX 1,Feedback Clock MUX 2,M Counter Source MUX,PLL Auto Reset" />
  <parameter
     name="gui_parameter_values"
     value="4,4,256,256,false,true,false,false,2,2,1,0,ph_mux_clk,false,false,5,5,1,0,ph_mux_clk,false,false,1,1,1,0,ph_mux_clk,false,false,2,20,4000,400.0 MHz,1,gclk,glb,fb_1,ph_mux_clk,false" />
  <parameter name="n_cnt_hi_div" value="256" />
  <parameter name="gui_refclk1_frequency" value="100.0" />
  <parameter name="c_cnt_ph_mux_prst11" value="0" />
  <parameter name="c_cnt_ph_mux_prst10" value="0" />
  <parameter name="c_cnt_ph_mux_prst17" value="0" />
  <parameter name="c_cnt_ph_mux_prst16" value="0" />
  <parameter name="c_cnt_ph_mux_prst15" value="0" />
  <parameter name="c_cnt_ph_mux_prst14" value="0" />
  <parameter name="c_cnt_ph_mux_prst13" value="0" />
  <parameter name="c_cnt_ph_mux_prst12" value="0" />
  <parameter name="gui_dps_num" value="1" />
  <parameter name="gui_clk_bad" value="false" />
  <parameter name="gui_actual_output_clock_frequency13" value="0 MHz" />
  <parameter name="gui_actual_output_clock_frequency14" value="0 MHz" />
  <parameter name="gui_actual_output_clock_frequency15" value="0 MHz" />
  <parameter name="gui_actual_output_clock_frequency16" value="0 MHz" />
  <parameter name="gui_actual_output_clock_frequency10" value="0 MHz" />
  <parameter name="gui_switchover_delay" value="0" />
  <parameter name="gui_actual_output_clock_frequency11" value="0 MHz" />
  <parameter name="gui_actual_output_clock_frequency12" value="0 MHz" />
  <parameter name="gui_operation_mode" value="normal" />
  <parameter name="gui_actual_output_clock_frequency17" value="0 MHz" />
  <parameter name="n_cnt_bypass_en" value="true" />
  <parameter name="c_cnt_bypass_en3" value="true" />
  <parameter name="c_cnt_bypass_en2" value="false" />
  <parameter name="c_cnt_bypass_en5" value="true" />
  <parameter name="c_cnt_bypass_en4" value="true" />
  <parameter name="c_cnt_bypass_en1" value="false" />
  <parameter name="c_cnt_bypass_en0" value="false" />
  <parameter name="number_of_cascade_counters" value="0" />
  <parameter name="c_cnt_bypass_en7" value="true" />
  <parameter name="c_cnt_bypass_en6" value="true" />
  <parameter name="c_cnt_bypass_en9" value="true" />
  <parameter name="c_cnt_bypass_en8" value="true" />
  <parameter name="gui_en_adv_params" value="false" />
  <parameter name="number_of_clocks" value="3" />
  <parameter name="c_cnt_bypass_en16" value="true" />
  <parameter name="pll_vco_div" value="2" />
  <parameter name="c_cnt_bypass_en15" value="true" />
  <parameter name="c_cnt_bypass_en14" value="true" />
  <parameter name="c_cnt_bypass_en13" value="true" />
  <parameter name="c_cnt_bypass_en17" value="true" />
  <parameter name="c_cnt_bypass_en11" value="true" />
  <parameter name="c_cnt_bypass_en12" value="true" />
  <parameter name="c_cnt_bypass_en10" value="true" />
  <parameter name="gui_divide_factor_c7" value="1" />
  <parameter name="gui_divide_factor_c8" value="1" />
  <parameter name="gui_divide_factor_c5" value="1" />
  <parameter name="gui_divide_factor_c6" value="1" />
  <parameter name="gui_divide_factor_c9" value="1" />
  <parameter name="gui_mif_generate" value="false" />
  <parameter name="gui_divide_factor_n" value="1" />
  <parameter name="AUTO_REFCLK_CLOCK_RATE" value="50000000" />
  <parameter name="pll_fbclk_mux_2" value="fb_1" />
  <parameter name="pll_m_cnt_in_src" value="ph_mux_clk" />
  <parameter name="pll_fbclk_mux_1" value="glb" />
  <parameter name="gui_divide_factor_c0" value="1" />
  <parameter name="gui_divide_factor_c2" value="1" />
  <parameter name="gui_divide_factor_c1" value="1" />
  <parameter name="gui_divide_factor_c4" value="1" />
  <parameter name="gui_divide_factor_c3" value="1" />
  <parameter name="gui_actual_divide_factor9" value="1" />
  <parameter name="gui_actual_divide_factor8" value="1" />
  <parameter name="gui_phout_division" value="1" />
  <parameter name="c_cnt_lo_div7" value="1" />
  <parameter name="c_cnt_lo_div8" value="1" />
  <parameter name="c_cnt_lo_div9" value="1" />
  <parameter name="gui_pll_mode" value="Integer-N PLL" />
  <parameter name="fractional_vco_multiplier" value="false" />
  <parameter name="c_cnt_lo_div0" value="2" />
  <parameter name="gui_device_speed_grade" value="2" />
  <parameter name="c_cnt_lo_div2" value="1" />
  <parameter name="c_cnt_lo_div1" value="5" />
  <parameter name="gui_actual_output_clock_frequency1" value="0 MHz" />
  <parameter name="c_cnt_lo_div4" value="1" />
  <parameter name="gui_actual_output_clock_frequency0" value="0 MHz" />
  <parameter name="c_cnt_lo_div3" value="1" />
  <parameter name="c_cnt_lo_div6" value="1" />
  <parameter name="c_cnt_lo_div5" value="1" />
  <parameter name="gui_actual_divide_factor0" value="4" />
  <parameter name="gui_actual_output_clock_frequency5" value="0 MHz" />
  <parameter name="gui_actual_divide_factor1" value="10" />
  <parameter name="gui_actual_output_clock_frequency4" value="0 MHz" />
  <parameter name="gui_actual_divide_factor2" value="2" />
  <parameter name="gui_actual_output_clock_frequency3" value="0 MHz" />
  <parameter name="gui_actual_output_clock_frequency2" value="0 MHz" />
  <parameter name="gui_actual_divide_factor3" value="1" />
  <parameter name="gui_actual_output_clock_frequency9" value="0 MHz" />
  <parameter name="gui_actual_divide_factor4" value="1" />
  <parameter name="gui_actual_output_clock_frequency8" value="0 MHz" />
  <parameter name="gui_actual_divide_factor5" value="1" />
  <parameter name="gui_actual_output_clock_frequency7" value="0 MHz" />
  <parameter name="gui_actual_divide_factor6" value="1" />
  <parameter name="gui_actual_divide_factor7" value="1" />
  <parameter name="gui_actual_output_clock_frequency6" value="0 MHz" />
  <parameter name="gui_phase_shift_deg2" value="0.0" />
  <parameter name="gui_phase_shift_deg1" value="0.0" />
  <parameter name="gui_phase_shift_deg4" value="0.0" />
  <parameter name="gui_phase_shift_deg3" value="0.0" />
  <parameter name="m_cnt_lo_div" value="4" />
  <parameter name="gui_phase_shift_deg6" value="0.0" />
  <parameter name="gui_phase_shift_deg5" value="0.0" />
  <parameter name="gui_phase_shift_deg8" value="0.0" />
  <parameter name="refclk1_frequency" value="100.0 MHz" />
  <parameter name="gui_phase_shift_deg7" value="0.0" />
  <parameter name="gui_phase_shift_deg9" value="0.0" />
  <parameter name="gui_dps_cntr" value="C0" />
  <parameter name="gui_actual_frac_multiply_factor10" value="1" />
  <parameter name="gui_actual_frac_multiply_factor12" value="1" />
  <parameter name="gui_actual_frac_multiply_factor11" value="1" />
  <parameter name="gui_en_lvds_ports" value="false" />
  <parameter name="gui_actual_frac_multiply_factor17" value="1" />
  <parameter name="gui_actual_frac_multiply_factor14" value="1" />
  <parameter name="gui_actual_frac_multiply_factor13" value="1" />
  <parameter name="gui_actual_frac_multiply_factor16" value="1" />
  <parameter name="gui_en_reconf" value="false" />
  <parameter name="gui_phase_shift_deg0" value="0.0" />
  <parameter name="gui_actual_frac_multiply_factor15" value="1" />
  <parameter name="duty_cycle0" value="50" />
  <parameter name="duty_cycle1" value="50" />
  <parameter name="duty_cycle2" value="50" />
  <parameter name="mimic_fbclk_type" value="gclk" />
  <parameter name="duty_cycle3" value="50" />
  <parameter name="gui_cascade_outclk_index" value="0" />
  <parameter name="duty_cycle4" value="50" />
  <parameter name="pll_vcoph_div" value="1" />
  <parameter name="duty_cycle5" value="50" />
  <parameter name="duty_cycle6" value="50" />
  <parameter name="duty_cycle7" value="50" />
  <parameter name="duty_cycle8" value="50" />
  <parameter name="duty_cycle9" value="50" />
  <parameter name="gui_dps_dir" value="Positive" />
  <parameter name="gui_en_phout_ports" value="false" />
  <parameter name="gui_phase_shift_deg13" value="0.0" />
  <parameter name="gui_phase_shift_deg12" value="0.0" />
  <parameter name="gui_phase_shift_deg11" value="0.0" />
  <parameter name="gui_phase_shift_deg10" value="0.0" />
  <parameter name="gui_phase_shift_deg17" value="0.0" />
  <parameter name="gui_phase_shift_deg16" value="0.0" />
  <parameter name="gui_phase_shift_deg15" value="0.0" />
  <parameter name="gui_phase_shift_deg14" value="0.0" />
  <parameter name="m_cnt_hi_div" value="4" />
  <parameter name="gui_refclk_switch" value="false" />
  <parameter name="gui_phase_shift6" value="0" />
  <parameter name="gui_phase_shift7" value="0" />
  <parameter name="gui_phase_shift8" value="0" />
  <parameter name="gui_phase_shift9" value="0" />
  <parameter name="gui_phase_shift2" value="0" />
  <parameter name="gui_phase_shift3" value="0" />
  <parameter name="gui_phase_shift4" value="0" />
  <parameter name="gui_phase_shift5" value="0" />
  <parameter name="gui_phase_shift0" value="0" />
  <parameter name="gui_phase_shift1" value="0" />
  <parameter name="gui_actual_divide_factor10" value="1" />
  <parameter name="gui_cascade_counter14" value="false" />
  <parameter name="gui_cascade_counter15" value="false" />
  <parameter name="gui_cascade_counter16" value="false" />
  <parameter name="gui_cascade_counter17" value="false" />
  <parameter name="gui_actual_divide_factor15" value="1" />
  <parameter name="gui_actual_divide_factor16" value="1" />
  <parameter name="gui_actual_divide_factor17" value="1" />
  <parameter name="gui_actual_divide_factor11" value="1" />
  <parameter name="gui_actual_divide_factor12" value="1" />
  <parameter name="gui_actual_divide_factor13" value="1" />
  <parameter name="gui_actual_divide_factor14" value="1" />
  <parameter name="pll_bwctrl" value="4000" />
  <parameter name="gui_cascade_counter11" value="false" />
  <parameter name="gui_cascade_counter10" value="false" />
  <parameter name="gui_cascade_counter13" value="false" />
  <parameter name="gui_cascade_counter12" value="false" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_pll_sys.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_pll_sys.qip"
       type="OTHER"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file path="C:/altera/14.0/ip/altera/altera_pll/source/top/pll_hw.tcl" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.components.hwtclvalidator.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.privateinterfaces.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/guava-15.0.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.entityinterfaces.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.utilities.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/hamcrest-all-1.3.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/commons-lang3-3.1.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/javasysmon.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.infrastructure.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.version.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.jdbcsqlite.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/commons-logging-1.1.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopclibrary.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.atlantic.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.components.tclmodule.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.hdlcomponent.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopc.generator.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.hdlwriter.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcreport.build.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcdocument.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcreport.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.transforms.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.qsys.cmsis.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jaxb-impl.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jaxb-api.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jaxb-core.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.qsys.ipxact.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopc.qsymbol.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.minieval2.jar" />
   <file
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.tcl.interpreter.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jacl1.3.2a.jar" />
   <file path="C:/altera/14.0/quartus/sopc_builder/model/lib/jline-0.9.91.jar" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="pll_sys" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 7 starting:altera_pll "submodules/DE0_NANO_SOC_QSYS_pll_sys"</message>
   <message level="Info" culprit="pll_sys"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_pll</b> "<b>pll_sys</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="adc_ltc2308:1.1:AUTO_CLOCK_SINK_ADC_CLOCK_RATE=40000000,AUTO_CLOCK_SINK_CLOCK_RATE=100000000"
   instancePathKey="DE0_NANO_SOC_QSYS:.:adc_ltc2308"
   kind="adc_ltc2308"
   version="1.1"
   name="adc_ltc2308_fifo">
  <parameter name="AUTO_CLOCK_SINK_ADC_CLOCK_RATE" value="40000000" />
  <parameter name="AUTO_CLOCK_SINK_CLOCK_RATE" value="100000000" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_ltc2308_fifo.v"
       type="VERILOG"
       attributes="TOP_LEVEL_FILE" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_ltc2308.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_data_fifo.v"
       type="VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/ip/ADC_LTC2308_FIFO/adc_ltc2308_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="adc_ltc2308" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 6 starting:adc_ltc2308 "submodules/adc_ltc2308_fifo"</message>
   <message level="Info" culprit="adc_ltc2308"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>adc_ltc2308</b> "<b>adc_ltc2308</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_avalon_pio:14.0:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=true,clockRate=100000000,derived_capture=true,derived_do_test_bench_wiring=false,derived_edge_type=ANY,derived_has_in=true,derived_has_irq=true,derived_has_out=false,derived_has_tri=false,derived_irq_type=EDGE,direction=Input,edgeType=ANY,generateIRQ=true,irqType=EDGE,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=10"
   instancePathKey="DE0_NANO_SOC_QSYS:.:sw"
   kind="altera_avalon_pio"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_sw">
  <parameter name="derived_capture" value="true" />
  <parameter name="derived_do_test_bench_wiring" value="false" />
  <parameter name="clockRate" value="100000000" />
  <parameter name="edgeType" value="ANY" />
  <parameter name="resetValue" value="0" />
  <parameter name="direction" value="Input" />
  <parameter name="width" value="10" />
  <parameter name="generateIRQ" value="true" />
  <parameter name="derived_edge_type" value="ANY" />
  <parameter name="derived_has_in" value="true" />
  <parameter name="bitClearingEdgeCapReg" value="false" />
  <parameter name="derived_irq_type" value="EDGE" />
  <parameter name="simDrivenValue" value="0" />
  <parameter name="simDoTestBenchWiring" value="false" />
  <parameter name="bitModifyingOutReg" value="false" />
  <parameter name="derived_has_irq" value="true" />
  <parameter name="captureEdge" value="true" />
  <parameter name="derived_has_out" value="false" />
  <parameter name="derived_has_tri" value="false" />
  <parameter name="irqType" value="EDGE" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_sw.v"
       type="VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="sw" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 5 starting:altera_avalon_pio "submodules/DE0_NANO_SOC_QSYS_sw"</message>
   <message level="Info" culprit="sw">Starting RTL generation for module 'DE0_NANO_SOC_QSYS_sw'</message>
   <message level="Info" culprit="sw">  Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_sw --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0007_sw_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0007_sw_gen//DE0_NANO_SOC_QSYS_sw_component_configuration.pl  --do_build_sim=0  ]</message>
   <message level="Info" culprit="sw">Done RTL generation for module 'DE0_NANO_SOC_QSYS_sw'</message>
   <message level="Info" culprit="sw"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_avalon_pio</b> "<b>sw</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_mm_interconnect:14.0:AUTO_DEVICE=5CSEMA4U23C6,AUTO_DEVICE_FAMILY=Cyclone V,COMPOSE_CONTENTS=add_instance {nios2_qsys_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_jtag_debug_module_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sysid_qsys_control_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READ} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {adc_ltc2308_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_W} {16};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READ} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sw_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {sw_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sw_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {sw_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sw_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sw_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sw_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sw_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sw_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_READ} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {sw_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {sw_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sw_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sw_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sw_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {sw_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sw_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sw_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sw_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sw_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sw_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_qsys_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
&lt;address_map&gt;
 &lt;slave
   id=&quot;2&quot;
   name=&quot;nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000080800&quot;
   end=&quot;0x00000000000081000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;3&quot;
   name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000040000&quot;
   end=&quot;0x00000000000080000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
&lt;/address_map&gt;
};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
&lt;address_map&gt;
 &lt;slave
   id=&quot;3&quot;
   name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000040000&quot;
   end=&quot;0x00000000000080000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;2&quot;
   name=&quot;nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000080800&quot;
   end=&quot;0x00000000000081000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;5&quot;
   name=&quot;sysid_qsys_control_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081008&quot;
   end=&quot;0x00000000000081010&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;1&quot;
   name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081000&quot;
   end=&quot;0x00000000000081008&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;0&quot;
   name=&quot;adc_ltc2308_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081010&quot;
   end=&quot;0x00000000000081018&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;4&quot;
   name=&quot;sw_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000000000&quot;
   end=&quot;0x00000000000000010&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
&lt;/address_map&gt;
};set_instance_parameter_value {nios2_qsys_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_qsys_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ID} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sysid_qsys_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sysid_qsys_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ID} {5};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_WRITERESPONSE} {0};add_instance {sysid_qsys_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {adc_ltc2308_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {adc_ltc2308_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {adc_ltc2308_slave_agent} {ID} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_WRITERESPONSE} {0};add_instance {adc_ltc2308_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sw_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sw_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sw_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sw_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sw_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sw_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sw_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sw_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sw_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sw_s1_agent} {ID} {4};set_instance_parameter_value {sw_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_agent} {USE_WRITERESPONSE} {0};add_instance {sw_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 2 };set_instance_parameter_value {router} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {96};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {4 3 2 1 5 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {100000 000010 000001 001000 000100 010000 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both both both read both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x40000 0x80800 0x81000 0x81008 0x81010 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x10 0x80000 0x81000 0x81008 0x81010 0x81018 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {96};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {3};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {96};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {96};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {96};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {96};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {55};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_006} {ST_DATA_W} {96};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {55};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_007} {ST_DATA_W} {96};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {nios2_qsys_instruction_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {REORDER} {0};add_instance {nios2_qsys_data_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_qsys_reset_n_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {onchip_memory2_reset1_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {pll_sys_outclk0_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_qsys_instruction_master_translator.avalon_universal_master_0} {nios2_qsys_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_data_master_translator.avalon_universal_master_0} {nios2_qsys_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.m0} {nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.rf_source} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent_rsp_fifo.out} {nios2_qsys_jtag_debug_module_agent.rf_sink} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent.rdata_fifo_src} {nios2_qsys_jtag_debug_module_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {nios2_qsys_jtag_debug_module_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/nios2_qsys_jtag_debug_module_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {sysid_qsys_control_slave_agent.m0} {sysid_qsys_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sysid_qsys_control_slave_agent.rf_source} {sysid_qsys_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent_rsp_fifo.out} {sysid_qsys_control_slave_agent.rf_sink} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent.rdata_fifo_src} {sysid_qsys_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {sysid_qsys_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/sysid_qsys_control_slave_agent.cp} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {adc_ltc2308_slave_agent.m0} {adc_ltc2308_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {adc_ltc2308_slave_agent.rf_source} {adc_ltc2308_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {adc_ltc2308_slave_agent_rsp_fifo.out} {adc_ltc2308_slave_agent.rf_sink} {avalon_streaming};add_connection {adc_ltc2308_slave_agent.rdata_fifo_src} {adc_ltc2308_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {adc_ltc2308_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/adc_ltc2308_slave_agent.cp} {qsys_mm.command};add_connection {sw_s1_agent.m0} {sw_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sw_s1_agent.rf_source} {sw_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sw_s1_agent_rsp_fifo.out} {sw_s1_agent.rf_sink} {avalon_streaming};add_connection {sw_s1_agent.rdata_fifo_src} {sw_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {sw_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/sw_s1_agent.cp} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_agent.cp/router.sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {nios2_qsys_jtag_debug_module_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_jtag_debug_module_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {sysid_qsys_control_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {sysid_qsys_control_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {adc_ltc2308_slave_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {adc_ltc2308_slave_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {sw_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {sw_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {router.src} {nios2_qsys_instruction_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/nios2_qsys_instruction_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {nios2_qsys_instruction_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_qsys_instruction_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.rsp_src} {nios2_qsys_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.rsp_src/nios2_qsys_instruction_master_agent.rp} {qsys_mm.response};add_connection {router_001.src} {nios2_qsys_data_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router_001.src/nios2_qsys_data_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_limiter.cmd_src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.cmd_src/cmd_demux_001.sink} {qsys_mm.command};add_connection {rsp_mux_001.src} {nios2_qsys_data_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_qsys_data_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_data_master_limiter.rsp_src} {nios2_qsys_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.rsp_src/nios2_qsys_data_master_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux_001.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux_001.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink5} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {nios2_qsys_data_master_limiter.cmd_valid} {cmd_demux_001.sink_valid} {avalon_streaming};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_reset_n_reset_bridge.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_reset1_reset_bridge.clk} {clock};add_interface {pll_sys_outclk0} {clock} {slave};set_interface_property {pll_sys_outclk0} {EXPORT_OF} {pll_sys_outclk0_clock_bridge.in_clk};add_interface {nios2_qsys_reset_n_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_qsys_reset_n_reset_bridge_in_reset} {EXPORT_OF} {nios2_qsys_reset_n_reset_bridge.in_reset};add_interface {onchip_memory2_reset1_reset_bridge_in_reset} {reset} {slave};set_interface_property {onchip_memory2_reset1_reset_bridge_in_reset} {EXPORT_OF} {onchip_memory2_reset1_reset_bridge.in_reset};add_interface {nios2_qsys_data_master} {avalon} {slave};set_interface_property {nios2_qsys_data_master} {EXPORT_OF} {nios2_qsys_data_master_translator.avalon_anti_master_0};add_interface {nios2_qsys_instruction_master} {avalon} {slave};set_interface_property {nios2_qsys_instruction_master} {EXPORT_OF} {nios2_qsys_instruction_master_translator.avalon_anti_master_0};add_interface {adc_ltc2308_slave} {avalon} {master};set_interface_property {adc_ltc2308_slave} {EXPORT_OF} {adc_ltc2308_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {nios2_qsys_jtag_debug_module} {avalon} {master};set_interface_property {nios2_qsys_jtag_debug_module} {EXPORT_OF} {nios2_qsys_jtag_debug_module_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {sw_s1} {avalon} {master};set_interface_property {sw_s1} {EXPORT_OF} {sw_s1_translator.avalon_anti_slave_0};add_interface {sysid_qsys_control_slave} {avalon} {master};set_interface_property {sysid_qsys_control_slave} {EXPORT_OF} {sysid_qsys_control_slave_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.adc_ltc2308.slave} {0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.nios2_qsys.data_master} {0};set_module_assignment {interconnect_id.nios2_qsys.instruction_master} {1};set_module_assignment {interconnect_id.nios2_qsys.jtag_debug_module} {2};set_module_assignment {interconnect_id.onchip_memory2.s1} {3};set_module_assignment {interconnect_id.sw.s1} {4};set_module_assignment {interconnect_id.sysid_qsys.control_slave} {5};(altera_merlin_master_translator:14.0:AUTO_CLK_CLOCK_RATE=100000000,AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=1,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=1,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0)(altera_merlin_master_translator:14.0:AUTO_CLK_CLOCK_RATE=100000000,AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=1,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=9,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=16,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=1,AV_READ_WAIT=0,AV_READ_WAIT_CYCLES=0,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=0,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=16,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=2,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_master_agent:14.0:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
&lt;address_map&gt;
 &lt;slave
   id=&quot;2&quot;
   name=&quot;nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000080800&quot;
   end=&quot;0x00000000000081000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;3&quot;
   name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000040000&quot;
   end=&quot;0x00000000000080000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
&lt;/address_map&gt;
,AUTO_CLK_CLOCK_RATE=100000000,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=1,BURSTWRAP_VALUE=3,CACHE_VALUE=0,ID=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_merlin_master_agent:14.0:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
&lt;address_map&gt;
 &lt;slave
   id=&quot;3&quot;
   name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000040000&quot;
   end=&quot;0x00000000000080000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;2&quot;
   name=&quot;nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000080800&quot;
   end=&quot;0x00000000000081000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;5&quot;
   name=&quot;sysid_qsys_control_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081008&quot;
   end=&quot;0x00000000000081010&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;1&quot;
   name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081000&quot;
   end=&quot;0x00000000000081008&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;0&quot;
   name=&quot;adc_ltc2308_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081010&quot;
   end=&quot;0x00000000000081018&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;4&quot;
   name=&quot;sw_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000000000&quot;
   end=&quot;0x00000000000000010&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
&lt;/address_map&gt;
,AUTO_CLK_CLOCK_RATE=100000000,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=2,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=3,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=5,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=1,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=0,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=4,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=10,01,DECODER_TYPE=0,DEFAULT_CHANNEL=1,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=3,2,END_ADDRESS=0x80000,0x81000,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=3:10:0x40000:0x80000:both:1:0:0:1,2:01:0x80800:0x81000:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=100000,000010,000001,001000,000100,010000,DECODER_TYPE=0,DEFAULT_CHANNEL=1,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=4,3,2,1,5,0,END_ADDRESS=0x10,0x80000,0x81000,0x81008,0x81010,0x81018,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,0,0,SLAVES_INFO=4:100000:0x0:0x10:both:1:0:0:1,3:000010:0x40000:0x80000:both:1:0:0:1,2:000001:0x80800:0x81000:both:1:0:0:1,1:001000:0x81000:0x81008:both:1:0:0:1,5:000100:0x81008:0x81010:read:1:0:0:1,0:010000:0x81010:0x81018:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x40000,0x80800,0x81000,0x81008,0x81010,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both,both,both,read,both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=1,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=1,0,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=1:01:0x0:0x0:read:1:0:0:1,0:10:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=read,both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=1,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=1,0,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=1:01:0x0:0x0:read:1:0:0:1,0:10:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=read,both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both)(altera_merlin_traffic_limiter:14.0:AUTO_CLK_CLOCK_RATE=100000000,ENFORCE_ORDER=1,MAX_BURST_LENGTH=1,MAX_OUTSTANDING_RESPONSES=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PIPELINED=0,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_POSTED=57,PKT_TRANS_WRITE=58,PREVENT_HAZARDS=0,REORDER=0,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6)(altera_merlin_traffic_limiter:14.0:AUTO_CLK_CLOCK_RATE=100000000,ENFORCE_ORDER=1,MAX_BURST_LENGTH=1,MAX_OUTSTANDING_RESPONSES=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PIPELINED=0,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_POSTED=57,PKT_TRANS_WRITE=58,PREVENT_HAZARDS=0,REORDER=0,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=6,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=6,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_reset_bridge:14.0:ACTIVE_LOW_RESET=0,AUTO_CLK_CLOCK_RATE=100000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert)(altera_reset_bridge:14.0:ACTIVE_LOW_RESET=0,AUTO_CLK_CLOCK_RATE=100000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert)(altera_clock_bridge:14.0:DERIVED_CLOCK_RATE=0,EXPLICIT_CLOCK_RATE=100000000,NUM_CLOCK_OUTPUTS=1)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0"
   kind="altera_mm_interconnect"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="AUTO_DEVICE" value="5CSEMA4U23C6" />
  <parameter
     name="COMPOSE_CONTENTS"
     value="add_instance {nios2_qsys_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_jtag_debug_module_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sysid_qsys_control_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READ} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {adc_ltc2308_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_W} {16};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READ} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sw_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {sw_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sw_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {sw_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sw_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sw_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sw_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sw_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sw_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_READ} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {sw_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {sw_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sw_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sw_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sw_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {sw_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sw_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sw_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sw_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sw_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sw_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_qsys_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
&lt;address_map&gt;
 &lt;slave
   id=&quot;2&quot;
   name=&quot;nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000080800&quot;
   end=&quot;0x00000000000081000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;3&quot;
   name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000040000&quot;
   end=&quot;0x00000000000080000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
&lt;/address_map&gt;
};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_agent} {ADDR_MAP} {&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
&lt;address_map&gt;
 &lt;slave
   id=&quot;3&quot;
   name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000040000&quot;
   end=&quot;0x00000000000080000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;2&quot;
   name=&quot;nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000080800&quot;
   end=&quot;0x00000000000081000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;5&quot;
   name=&quot;sysid_qsys_control_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081008&quot;
   end=&quot;0x00000000000081010&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;1&quot;
   name=&quot;jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081000&quot;
   end=&quot;0x00000000000081008&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;0&quot;
   name=&quot;adc_ltc2308_slave_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000081010&quot;
   end=&quot;0x00000000000081018&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;4&quot;
   name=&quot;sw_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000000000&quot;
   end=&quot;0x00000000000000010&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
&lt;/address_map&gt;
};set_instance_parameter_value {nios2_qsys_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_qsys_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ID} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sysid_qsys_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sysid_qsys_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ID} {5};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_WRITERESPONSE} {0};add_instance {sysid_qsys_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {adc_ltc2308_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {adc_ltc2308_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {adc_ltc2308_slave_agent} {ID} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_WRITERESPONSE} {0};add_instance {adc_ltc2308_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sw_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sw_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sw_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sw_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sw_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sw_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sw_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sw_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sw_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sw_s1_agent} {ID} {4};set_instance_parameter_value {sw_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_agent} {USE_WRITERESPONSE} {0};add_instance {sw_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 2 };set_instance_parameter_value {router} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {96};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {4 3 2 1 5 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {100000 000010 000001 001000 000100 010000 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both both both read both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x40000 0x80800 0x81000 0x81008 0x81010 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x10 0x80000 0x81000 0x81008 0x81010 0x81018 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {96};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {3};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {96};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {96};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {96};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {96};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {55};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_006} {ST_DATA_W} {96};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {55};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_007} {ST_DATA_W} {96};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {nios2_qsys_instruction_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {REORDER} {0};add_instance {nios2_qsys_data_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_qsys_reset_n_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {onchip_memory2_reset1_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {pll_sys_outclk0_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_qsys_instruction_master_translator.avalon_universal_master_0} {nios2_qsys_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_data_master_translator.avalon_universal_master_0} {nios2_qsys_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.m0} {nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.rf_source} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent_rsp_fifo.out} {nios2_qsys_jtag_debug_module_agent.rf_sink} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent.rdata_fifo_src} {nios2_qsys_jtag_debug_module_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {nios2_qsys_jtag_debug_module_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/nios2_qsys_jtag_debug_module_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {sysid_qsys_control_slave_agent.m0} {sysid_qsys_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sysid_qsys_control_slave_agent.rf_source} {sysid_qsys_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent_rsp_fifo.out} {sysid_qsys_control_slave_agent.rf_sink} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent.rdata_fifo_src} {sysid_qsys_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {sysid_qsys_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/sysid_qsys_control_slave_agent.cp} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {adc_ltc2308_slave_agent.m0} {adc_ltc2308_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {adc_ltc2308_slave_agent.rf_source} {adc_ltc2308_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {adc_ltc2308_slave_agent_rsp_fifo.out} {adc_ltc2308_slave_agent.rf_sink} {avalon_streaming};add_connection {adc_ltc2308_slave_agent.rdata_fifo_src} {adc_ltc2308_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {adc_ltc2308_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/adc_ltc2308_slave_agent.cp} {qsys_mm.command};add_connection {sw_s1_agent.m0} {sw_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sw_s1_agent.rf_source} {sw_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sw_s1_agent_rsp_fifo.out} {sw_s1_agent.rf_sink} {avalon_streaming};add_connection {sw_s1_agent.rdata_fifo_src} {sw_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {sw_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/sw_s1_agent.cp} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_agent.cp/router.sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {nios2_qsys_jtag_debug_module_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_jtag_debug_module_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {sysid_qsys_control_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {sysid_qsys_control_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {adc_ltc2308_slave_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {adc_ltc2308_slave_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {sw_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {sw_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {router.src} {nios2_qsys_instruction_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/nios2_qsys_instruction_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {nios2_qsys_instruction_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_qsys_instruction_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.rsp_src} {nios2_qsys_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.rsp_src/nios2_qsys_instruction_master_agent.rp} {qsys_mm.response};add_connection {router_001.src} {nios2_qsys_data_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router_001.src/nios2_qsys_data_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_limiter.cmd_src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.cmd_src/cmd_demux_001.sink} {qsys_mm.command};add_connection {rsp_mux_001.src} {nios2_qsys_data_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_qsys_data_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_data_master_limiter.rsp_src} {nios2_qsys_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.rsp_src/nios2_qsys_data_master_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux_001.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux_001.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink5} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {nios2_qsys_data_master_limiter.cmd_valid} {cmd_demux_001.sink_valid} {avalon_streaming};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_reset_n_reset_bridge.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_reset1_reset_bridge.clk} {clock};add_interface {pll_sys_outclk0} {clock} {slave};set_interface_property {pll_sys_outclk0} {EXPORT_OF} {pll_sys_outclk0_clock_bridge.in_clk};add_interface {nios2_qsys_reset_n_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_qsys_reset_n_reset_bridge_in_reset} {EXPORT_OF} {nios2_qsys_reset_n_reset_bridge.in_reset};add_interface {onchip_memory2_reset1_reset_bridge_in_reset} {reset} {slave};set_interface_property {onchip_memory2_reset1_reset_bridge_in_reset} {EXPORT_OF} {onchip_memory2_reset1_reset_bridge.in_reset};add_interface {nios2_qsys_data_master} {avalon} {slave};set_interface_property {nios2_qsys_data_master} {EXPORT_OF} {nios2_qsys_data_master_translator.avalon_anti_master_0};add_interface {nios2_qsys_instruction_master} {avalon} {slave};set_interface_property {nios2_qsys_instruction_master} {EXPORT_OF} {nios2_qsys_instruction_master_translator.avalon_anti_master_0};add_interface {adc_ltc2308_slave} {avalon} {master};set_interface_property {adc_ltc2308_slave} {EXPORT_OF} {adc_ltc2308_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {nios2_qsys_jtag_debug_module} {avalon} {master};set_interface_property {nios2_qsys_jtag_debug_module} {EXPORT_OF} {nios2_qsys_jtag_debug_module_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {sw_s1} {avalon} {master};set_interface_property {sw_s1} {EXPORT_OF} {sw_s1_translator.avalon_anti_slave_0};add_interface {sysid_qsys_control_slave} {avalon} {master};set_interface_property {sysid_qsys_control_slave} {EXPORT_OF} {sysid_qsys_control_slave_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.adc_ltc2308.slave} {0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.nios2_qsys.data_master} {0};set_module_assignment {interconnect_id.nios2_qsys.instruction_master} {1};set_module_assignment {interconnect_id.nios2_qsys.jtag_debug_module} {2};set_module_assignment {interconnect_id.onchip_memory2.s1} {3};set_module_assignment {interconnect_id.sw.s1} {4};set_module_assignment {interconnect_id.sysid_qsys.control_slave} {5};" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0.v"
       type="VERILOG" />
  </generatedFiles>
  <childGeneratedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_translator.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_translator.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_agent.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_agent.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
       type="VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_traffic_limiter.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_reorder_memory.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_st_pipeline_base.v"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001.sv"
       type="SYSTEM_VERILOG" />
  </childGeneratedFiles>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" />
  </sourceFiles>
  <childSourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
  </childSourceFiles>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="mm_interconnect_0" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 4 starting:altera_mm_interconnect "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0"</message>
   <message level="Progress" culprit="min"></message>
   <message level="Progress" culprit="max"></message>
   <message level="Progress" culprit="current"></message>
   <message level="Debug">Transform: CustomInstructionTransform</message>
   <message level="Debug">No custom instruction connections, skipping transform </message>
   <message level="Debug" culprit="merlin_custom_instruction_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: MMTransform</message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InitialInterconnectTransform</message>
   <message level="Debug" culprit="merlin_initial_interconnect_transform"><![CDATA[After transform: <b>0</b> modules, <b>0</b> connections]]></message>
   <message level="Debug">Transform: TerminalIdAssignmentUpdateTransform</message>
   <message level="Debug">Transform: DefaultSlaveTransform</message>
   <message level="Debug">Transform: TranslatorTransform</message>
   <message level="Debug">No Avalon connections, skipping transform </message>
   <message level="Debug">Transform: IDPadTransform</message>
   <message level="Debug">Transform: DomainTransform</message>
   <message level="Debug">Transform: RouterTransform</message>
   <message level="Debug">Transform: TrafficLimiterTransform</message>
   <message level="Debug">Transform: BurstTransform</message>
   <message level="Debug">Transform: TreeTransform</message>
   <message level="Debug">Transform: NetworkToSwitchTransform</message>
   <message level="Debug">Transform: WidthTransform</message>
   <message level="Debug">Transform: RouterTableTransform</message>
   <message level="Debug">Transform: ThreadIDMappingTableTransform</message>
   <message level="Debug">Transform: ClockCrossingTransform</message>
   <message level="Debug">Transform: PipelineTransform</message>
   <message level="Debug">Transform: SpotPipelineTransform</message>
   <message level="Debug">Transform: PerformanceMonitorTransform</message>
   <message level="Debug">Transform: TrafficLimiterUpdateTransform</message>
   <message level="Debug">Transform: InsertClockAndResetBridgesTransform</message>
   <message level="Debug">Transform: InterconnectConnectionsTagger</message>
   <message level="Debug">Transform: HierarchyTransform</message>
   <message level="Debug" culprit="merlin_hierarchy_transform"><![CDATA[After transform: <b>51</b> modules, <b>170</b> connections]]></message>
   <message level="Debug">Transform: InterruptMapperTransform</message>
   <message level="Debug">Transform: InterruptSyncTransform</message>
   <message level="Debug">Transform: InterruptFanoutTransform</message>
   <message level="Debug">Transform: AvalonStreamingTransform</message>
   <message level="Debug">Transform: ResetAdaptation</message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_translator</b> "<b>submodules/altera_merlin_master_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_translator</b> "<b>submodules/altera_merlin_slave_translator</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_master_agent</b> "<b>submodules/altera_merlin_master_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_slave_agent</b> "<b>submodules/altera_merlin_slave_agent</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_avalon_sc_fifo</b> "<b>submodules/altera_avalon_sc_fifo</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_router</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_traffic_limiter</b> "<b>submodules/altera_merlin_traffic_limiter</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_traffic_limiter</b> "<b>submodules/altera_merlin_traffic_limiter</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_demultiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux</b>"]]></message>
   <message level="Debug" culprit="mm_interconnect_0"><![CDATA["<b>mm_interconnect_0</b>" reuses <b>altera_merlin_multiplexer</b> "<b>submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001</b>"]]></message>
   <message level="Info" culprit="mm_interconnect_0"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_mm_interconnect</b> "<b>mm_interconnect_0</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 47 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_qsys_instruction_master_translator</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 45 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>nios2_qsys_jtag_debug_module_translator</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 39 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_qsys_instruction_master_agent</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 37 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>nios2_qsys_jtag_debug_module_agent</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 36 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>nios2_qsys_jtag_debug_module_agent_rsp_fifo</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 25 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router"</message>
   <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 24 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001"</message>
   <message level="Info" culprit="router_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_001</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 23 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"</message>
   <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 21 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"</message>
   <message level="Info" culprit="router_004"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_004</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 17 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_limiter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_traffic_limiter</b> "<b>nios2_qsys_instruction_master_limiter</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v</b>]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 15 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux"</message>
   <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 14 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001"</message>
   <message level="Info" culprit="cmd_demux_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux_001</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 13 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"</message>
   <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 11 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"</message>
   <message level="Info" culprit="cmd_mux_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux_002</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 7 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"</message>
   <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 5 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"</message>
   <message level="Info" culprit="rsp_demux_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux_002</b>"]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 1 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux"</message>
   <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 0 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001"</message>
   <message level="Info" culprit="rsp_mux_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux_001</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_irq_mapper:14.0:AUTO_DEVICE_FAMILY=Cyclone V,IRQ_MAP=0:0,1:1,NUM_RCVRS=2,SENDER_IRQ_WIDTH=32"
   instancePathKey="DE0_NANO_SOC_QSYS:.:irq_mapper"
   kind="altera_irq_mapper"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_irq_mapper">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="SENDER_IRQ_WIDTH" value="32" />
  <parameter name="IRQ_MAP" value="0:0,1:1" />
  <parameter name="NUM_RCVRS" value="2" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_irq_mapper.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS" as="irq_mapper" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 51 starting:altera_irq_mapper "submodules/DE0_NANO_SOC_QSYS_irq_mapper"</message>
   <message level="Info" culprit="irq_mapper"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_irq_mapper</b> "<b>irq_mapper</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_reset_controller:14.0:ADAPT_RESET_REQUEST=0,AUTO_CLK_CLOCK_RATE=-1,MIN_RST_ASSERTION_TIME=3,NUM_RESET_INPUTS=2,OUTPUT_RESET_SYNC_EDGES=deassert,RESET_REQUEST_PRESENT=1,RESET_REQ_EARLY_DSRT_TIME=1,RESET_REQ_WAIT_TIME=1,SYNC_DEPTH=2,USE_RESET_REQUEST_IN0=0,USE_RESET_REQUEST_IN1=0,USE_RESET_REQUEST_IN10=0,USE_RESET_REQUEST_IN11=0,USE_RESET_REQUEST_IN12=0,USE_RESET_REQUEST_IN13=0,USE_RESET_REQUEST_IN14=0,USE_RESET_REQUEST_IN15=0,USE_RESET_REQUEST_IN2=0,USE_RESET_REQUEST_IN3=0,USE_RESET_REQUEST_IN4=0,USE_RESET_REQUEST_IN5=0,USE_RESET_REQUEST_IN6=0,USE_RESET_REQUEST_IN7=0,USE_RESET_REQUEST_IN8=0,USE_RESET_REQUEST_IN9=0,USE_RESET_REQUEST_INPUT=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:rst_controller"
   kind="altera_reset_controller"
   version="14.0"
   name="altera_reset_controller">
  <parameter name="AUTO_CLK_CLOCK_RATE" value="-1" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_controller.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_synchronizer.v"
       type="VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_controller.sdc"
       type="SDC"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS"
     as="rst_controller,rst_controller_001,rst_controller_002" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 50 starting:altera_reset_controller "submodules/altera_reset_controller"</message>
   <message level="Info" culprit="rst_controller"><![CDATA["<b>DE0_NANO_SOC_QSYS</b>" instantiated <b>altera_reset_controller</b> "<b>rst_controller</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_master_translator:14.0:AUTO_CLK_CLOCK_RATE=100000000,AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=1,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=1,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_instruction_master_translator"
   kind="altera_merlin_master_translator"
   version="14.0"
   name="altera_merlin_master_translator">
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_translator.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="nios2_qsys_instruction_master_translator,nios2_qsys_data_master_translator" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 47 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_translator</b> "<b>nios2_qsys_instruction_master_translator</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=9,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_jtag_debug_module_translator"
   kind="altera_merlin_slave_translator"
   version="14.0"
   name="altera_merlin_slave_translator">
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_translator.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="nios2_qsys_jtag_debug_module_translator,onchip_memory2_s1_translator,sysid_qsys_control_slave_translator,jtag_uart_avalon_jtag_slave_translator,adc_ltc2308_slave_translator,sw_s1_translator" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 45 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_translator"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_translator</b> "<b>nios2_qsys_jtag_debug_module_translator</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_master_agent:14.0:ADDR_MAP=&lt;?xml version=&quot;1.0&quot; encoding=&quot;UTF-8&quot;?&gt;
&lt;address_map&gt;
 &lt;slave
   id=&quot;2&quot;
   name=&quot;nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000080800&quot;
   end=&quot;0x00000000000081000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
 &lt;slave
   id=&quot;3&quot;
   name=&quot;onchip_memory2_s1_translator.avalon_universal_slave_0&quot;
   start=&quot;0x0000000000040000&quot;
   end=&quot;0x00000000000080000&quot;
   responds=&quot;1&quot;
   user_default=&quot;0&quot; /&gt;
&lt;/address_map&gt;
,AUTO_CLK_CLOCK_RATE=100000000,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=1,BURSTWRAP_VALUE=3,CACHE_VALUE=0,ID=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_instruction_master_agent"
   kind="altera_merlin_master_agent"
   version="14.0"
   name="altera_merlin_master_agent">
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_agent.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="nios2_qsys_instruction_master_agent,nios2_qsys_data_master_agent" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 39 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_master_agent</b> "<b>nios2_qsys_instruction_master_agent</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=2,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_jtag_debug_module_agent"
   kind="altera_merlin_slave_agent"
   version="14.0"
   name="altera_merlin_slave_agent">
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_agent.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="nios2_qsys_jtag_debug_module_agent,onchip_memory2_s1_agent,sysid_qsys_control_slave_agent,jtag_uart_avalon_jtag_slave_agent,adc_ltc2308_slave_agent,sw_s1_agent" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 37 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_agent"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_slave_agent</b> "<b>nios2_qsys_jtag_debug_module_agent</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_jtag_debug_module_agent_rsp_fifo"
   kind="altera_avalon_sc_fifo"
   version="14.0"
   name="altera_avalon_sc_fifo">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
       type="VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="nios2_qsys_jtag_debug_module_agent_rsp_fifo,onchip_memory2_s1_agent_rsp_fifo,sysid_qsys_control_slave_agent_rsp_fifo,jtag_uart_avalon_jtag_slave_agent_rsp_fifo,adc_ltc2308_slave_agent_rsp_fifo,sw_s1_agent_rsp_fifo" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 36 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"</message>
   <message level="Info" culprit="nios2_qsys_jtag_debug_module_agent_rsp_fifo"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_avalon_sc_fifo</b> "<b>nios2_qsys_jtag_debug_module_agent_rsp_fifo</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=10,01,DECODER_TYPE=0,DEFAULT_CHANNEL=1,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=3,2,END_ADDRESS=0x80000,0x81000,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=3:10:0x40000:0x80000:both:1:0:0:1,2:01:0x80800:0x81000:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:router"
   kind="altera_merlin_router"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_router">
  <parameter name="END_ADDRESS" value="0x80000,0x81000" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter name="CHANNEL_ID" value="10,01" />
  <parameter name="PKT_ADDR_L" value="36" />
  <parameter name="START_ADDRESS" value="0x40000,0x80800" />
  <parameter name="PKT_ADDR_H" value="55" />
  <parameter name="SECURED_RANGE_LIST" value="0,0" />
  <parameter name="DEFAULT_WR_CHANNEL" value="-1" />
  <parameter name="MEMORY_ALIASING_DECODE" value="0" />
  <parameter name="TYPE_OF_TRANSACTION" value="both,both" />
  <parameter name="PKT_TRANS_READ" value="59" />
  <parameter name="DEFAULT_RD_CHANNEL" value="-1" />
  <parameter name="PKT_PROTECTION_L" value="84" />
  <parameter name="DEFAULT_CHANNEL" value="1" />
  <parameter name="PKT_PROTECTION_H" value="86" />
  <parameter name="SECURED_RANGE_PAIRS" value="0,0" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="PKT_DEST_ID_L" value="80" />
  <parameter name="PKT_TRANS_WRITE" value="58" />
  <parameter name="DEFAULT_DESTID" value="3" />
  <parameter name="PKT_DEST_ID_H" value="82" />
  <parameter
     name="SLAVES_INFO"
     value="3:10:0x40000:0x80000:both:1:0:0:1,2:01:0x80800:0x81000:both:1:0:0:1" />
  <parameter name="DESTINATION_ID" value="3,2" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="SPAN_OFFSET" value="" />
  <parameter name="NON_SECURED_TAG" value="1,1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <parameter name="DECODER_TYPE" value="0" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0" as="router" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 25 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router"</message>
   <message level="Info" culprit="router"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=100000,000010,000001,001000,000100,010000,DECODER_TYPE=0,DEFAULT_CHANNEL=1,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=4,3,2,1,5,0,END_ADDRESS=0x10,0x80000,0x81000,0x81008,0x81010,0x81018,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,0,0,SLAVES_INFO=4:100000:0x0:0x10:both:1:0:0:1,3:000010:0x40000:0x80000:both:1:0:0:1,2:000001:0x80800:0x81000:both:1:0:0:1,1:001000:0x81000:0x81008:both:1:0:0:1,5:000100:0x81008:0x81010:read:1:0:0:1,0:010000:0x81010:0x81018:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x40000,0x80800,0x81000,0x81008,0x81010,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both,both,both,read,both"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:router_001"
   kind="altera_merlin_router"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001">
  <parameter
     name="END_ADDRESS"
     value="0x10,0x80000,0x81000,0x81008,0x81010,0x81018" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter name="CHANNEL_ID" value="100000,000010,000001,001000,000100,010000" />
  <parameter name="PKT_ADDR_L" value="36" />
  <parameter
     name="START_ADDRESS"
     value="0x0,0x40000,0x80800,0x81000,0x81008,0x81010" />
  <parameter name="PKT_ADDR_H" value="55" />
  <parameter name="SECURED_RANGE_LIST" value="0,0,0,0,0,0" />
  <parameter name="DEFAULT_WR_CHANNEL" value="-1" />
  <parameter name="MEMORY_ALIASING_DECODE" value="0" />
  <parameter name="TYPE_OF_TRANSACTION" value="both,both,both,both,read,both" />
  <parameter name="PKT_TRANS_READ" value="59" />
  <parameter name="DEFAULT_RD_CHANNEL" value="-1" />
  <parameter name="PKT_PROTECTION_L" value="84" />
  <parameter name="DEFAULT_CHANNEL" value="1" />
  <parameter name="PKT_PROTECTION_H" value="86" />
  <parameter name="SECURED_RANGE_PAIRS" value="0,0,0,0,0,0" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="PKT_DEST_ID_L" value="80" />
  <parameter name="PKT_TRANS_WRITE" value="58" />
  <parameter name="DEFAULT_DESTID" value="3" />
  <parameter name="PKT_DEST_ID_H" value="82" />
  <parameter
     name="SLAVES_INFO"
     value="4:100000:0x0:0x10:both:1:0:0:1,3:000010:0x40000:0x80000:both:1:0:0:1,2:000001:0x80800:0x81000:both:1:0:0:1,1:001000:0x81000:0x81008:both:1:0:0:1,5:000100:0x81008:0x81010:read:1:0:0:1,0:010000:0x81010:0x81018:both:1:0:0:1" />
  <parameter name="DESTINATION_ID" value="4,3,2,1,5,0" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="SPAN_OFFSET" value="" />
  <parameter name="NON_SECURED_TAG" value="1,1,1,1,1,1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <parameter name="DECODER_TYPE" value="0" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0" as="router_001" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 24 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001"</message>
   <message level="Info" culprit="router_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_001</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=1,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=1,0,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=1:01:0x0:0x0:read:1:0:0:1,0:10:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=read,both"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:router_002"
   kind="altera_merlin_router"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002">
  <parameter name="END_ADDRESS" value="0x0,0x0" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter name="CHANNEL_ID" value="01,10" />
  <parameter name="PKT_ADDR_L" value="36" />
  <parameter name="START_ADDRESS" value="0x0,0x0" />
  <parameter name="PKT_ADDR_H" value="55" />
  <parameter name="SECURED_RANGE_LIST" value="0,0" />
  <parameter name="DEFAULT_WR_CHANNEL" value="-1" />
  <parameter name="MEMORY_ALIASING_DECODE" value="0" />
  <parameter name="TYPE_OF_TRANSACTION" value="read,both" />
  <parameter name="PKT_TRANS_READ" value="59" />
  <parameter name="DEFAULT_RD_CHANNEL" value="-1" />
  <parameter name="PKT_PROTECTION_L" value="84" />
  <parameter name="DEFAULT_CHANNEL" value="0" />
  <parameter name="PKT_PROTECTION_H" value="86" />
  <parameter name="SECURED_RANGE_PAIRS" value="0,0" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="PKT_DEST_ID_L" value="80" />
  <parameter name="PKT_TRANS_WRITE" value="58" />
  <parameter name="DEFAULT_DESTID" value="1" />
  <parameter name="PKT_DEST_ID_H" value="82" />
  <parameter
     name="SLAVES_INFO"
     value="1:01:0x0:0x0:read:1:0:0:1,0:10:0x0:0x0:both:1:0:0:1" />
  <parameter name="DESTINATION_ID" value="1,0" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="SPAN_OFFSET" value="" />
  <parameter name="NON_SECURED_TAG" value="1,1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <parameter name="DECODER_TYPE" value="1" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="router_002,router_003" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 23 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"</message>
   <message level="Info" culprit="router_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_002</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:router_004"
   kind="altera_merlin_router"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004">
  <parameter name="END_ADDRESS" value="0x0" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter name="CHANNEL_ID" value="1" />
  <parameter name="PKT_ADDR_L" value="36" />
  <parameter name="START_ADDRESS" value="0x0" />
  <parameter name="PKT_ADDR_H" value="55" />
  <parameter name="SECURED_RANGE_LIST" value="0" />
  <parameter name="DEFAULT_WR_CHANNEL" value="-1" />
  <parameter name="MEMORY_ALIASING_DECODE" value="0" />
  <parameter name="TYPE_OF_TRANSACTION" value="both" />
  <parameter name="PKT_TRANS_READ" value="59" />
  <parameter name="DEFAULT_RD_CHANNEL" value="-1" />
  <parameter name="PKT_PROTECTION_L" value="84" />
  <parameter name="DEFAULT_CHANNEL" value="0" />
  <parameter name="PKT_PROTECTION_H" value="86" />
  <parameter name="SECURED_RANGE_PAIRS" value="0" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="PKT_DEST_ID_L" value="80" />
  <parameter name="PKT_TRANS_WRITE" value="58" />
  <parameter name="DEFAULT_DESTID" value="0" />
  <parameter name="PKT_DEST_ID_H" value="82" />
  <parameter name="SLAVES_INFO" value="0:1:0x0:0x0:both:1:0:0:1" />
  <parameter name="DESTINATION_ID" value="0" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="SPAN_OFFSET" value="" />
  <parameter name="NON_SECURED_TAG" value="1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <parameter name="DECODER_TYPE" value="1" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="router_004,router_005,router_006,router_007" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 21 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"</message>
   <message level="Info" culprit="router_004"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_router</b> "<b>router_004</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_traffic_limiter:14.0:AUTO_CLK_CLOCK_RATE=100000000,ENFORCE_ORDER=1,MAX_BURST_LENGTH=1,MAX_OUTSTANDING_RESPONSES=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PIPELINED=0,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_POSTED=57,PKT_TRANS_WRITE=58,PREVENT_HAZARDS=0,REORDER=0,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_instruction_master_limiter"
   kind="altera_merlin_traffic_limiter"
   version="14.0"
   name="altera_merlin_traffic_limiter">
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_traffic_limiter.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_reorder_memory.sv"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
       type="SYSTEM_VERILOG"
       attributes="" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_st_pipeline_base.v"
       type="SYSTEM_VERILOG"
       attributes="" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="nios2_qsys_instruction_master_limiter,nios2_qsys_data_master_limiter" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 17 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"</message>
   <message level="Info" culprit="nios2_qsys_instruction_master_limiter"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_traffic_limiter</b> "<b>nios2_qsys_instruction_master_limiter</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v</b>]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:cmd_demux"
   kind="altera_merlin_demultiplexer"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="NUM_OUTPUTS" value="2" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="VALID_WIDTH" value="6" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0" as="cmd_demux" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 15 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux"</message>
   <message level="Info" culprit="cmd_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=6,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:cmd_demux_001"
   kind="altera_merlin_demultiplexer"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="NUM_OUTPUTS" value="6" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="VALID_WIDTH" value="6" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0" as="cmd_demux_001" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 14 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001"</message>
   <message level="Info" culprit="cmd_demux_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>cmd_demux_001</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:cmd_mux"
   kind="altera_merlin_multiplexer"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="PIPELINE_ARB" value="1" />
  <parameter name="NUM_INPUTS" value="2" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="USE_EXTERNAL_ARB" value="0" />
  <parameter name="ARBITRATION_SCHEME" value="round-robin" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="PKT_TRANS_LOCK" value="60" />
  <parameter name="ARBITRATION_SHARES" value="1,1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="cmd_mux,cmd_mux_001" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 13 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"</message>
   <message level="Info" culprit="cmd_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:cmd_mux_002"
   kind="altera_merlin_multiplexer"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="PIPELINE_ARB" value="1" />
  <parameter name="NUM_INPUTS" value="1" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="USE_EXTERNAL_ARB" value="0" />
  <parameter name="ARBITRATION_SCHEME" value="round-robin" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="PKT_TRANS_LOCK" value="60" />
  <parameter name="ARBITRATION_SHARES" value="1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="cmd_mux_002,cmd_mux_003,cmd_mux_004,cmd_mux_005" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 11 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"</message>
   <message level="Info" culprit="cmd_mux_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>cmd_mux_002</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:rsp_demux"
   kind="altera_merlin_demultiplexer"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="NUM_OUTPUTS" value="2" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="VALID_WIDTH" value="1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="rsp_demux,rsp_demux_001" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 7 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"</message>
   <message level="Info" culprit="rsp_demux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:rsp_demux_002"
   kind="altera_merlin_demultiplexer"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="NUM_OUTPUTS" value="1" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="VALID_WIDTH" value="1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
     as="rsp_demux_002,rsp_demux_003,rsp_demux_004,rsp_demux_005" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 5 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"</message>
   <message level="Info" culprit="rsp_demux_002"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_demultiplexer</b> "<b>rsp_demux_002</b>"]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:rsp_mux"
   kind="altera_merlin_multiplexer"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="PIPELINE_ARB" value="0" />
  <parameter name="NUM_INPUTS" value="2" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="USE_EXTERNAL_ARB" value="0" />
  <parameter name="ARBITRATION_SCHEME" value="no-arb" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="PKT_TRANS_LOCK" value="60" />
  <parameter name="ARBITRATION_SHARES" value="1,1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0" as="rsp_mux" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 1 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux"</message>
   <message level="Info" culprit="rsp_mux"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  </messages>
 </entity>
 <entity
   path="submodules/"
   parameterizationKey="altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=6,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:rsp_mux_001"
   kind="altera_merlin_multiplexer"
   version="14.0"
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001">
  <parameter name="AUTO_DEVICE_FAMILY" value="Cyclone V" />
  <parameter name="PIPELINE_ARB" value="0" />
  <parameter name="NUM_INPUTS" value="6" />
  <parameter name="AUTO_CLK_CLOCK_RATE" value="100000000" />
  <parameter
     name="MERLIN_PACKET_FORMAT"
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
  <parameter name="USE_EXTERNAL_ARB" value="0" />
  <parameter name="ARBITRATION_SCHEME" value="no-arb" />
  <parameter name="ST_DATA_W" value="96" />
  <parameter name="PKT_TRANS_LOCK" value="60" />
  <parameter name="ARBITRATION_SHARES" value="1,1,1,1,1,1" />
  <parameter name="ST_CHANNEL_W" value="6" />
  <generatedFiles>
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
       type="SYSTEM_VERILOG" />
   <file
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001.sv"
       type="SYSTEM_VERILOG" />
  </generatedFiles>
  <childGeneratedFiles/>
  <sourceFiles>
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
   <file
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
  </sourceFiles>
  <childSourceFiles/>
  <instantiator instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0" as="rsp_mux_001" />
  <messages>
   <message level="Debug" culprit="DE0_NANO_SOC_QSYS">queue size: 0 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001"</message>
   <message level="Info" culprit="rsp_mux_001"><![CDATA["<b>mm_interconnect_0</b>" instantiated <b>altera_merlin_multiplexer</b> "<b>rsp_mux_001</b>"]]></message>
   <message level="Info"><![CDATA[Reusing file <b>D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv</b>]]></message>
  </messages>
 </entity>
</deploy>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.