OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_QSYS/] [synthesis/] [DE0_NANO_SOC_QSYS.qip] - Rev 221

Compare with Previous | Blame | View Log

set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOPCINFO_FILE [file join $::quartus(qip_path) "../../DE0_NANO_SOC_QSYS.sopcinfo"]
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name SLD_INFO "QSYS_NAME DE0_NANO_SOC_QSYS HAS_SOPCINFO 1 GENERATION_ID 1418889131"
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name MISC_FILE [file join $::quartus(qip_path) "../DE0_NANO_SOC_QSYS.cmp"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SLD_FILE [file join $::quartus(qip_path) "DE0_NANO_SOC_QSYS.regmap"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SLD_FILE [file join $::quartus(qip_path) "DE0_NANO_SOC_QSYS.debuginfo"]
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_QSYS_MODE "UNKNOWN"
set_global_assignment -name SYNTHESIS_ONLY_QIP ON
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name MISC_FILE [file join $::quartus(qip_path) "../../DE0_NANO_SOC_QSYS.qsys"]
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "REUwX05BTk9fU09DX1FTWVM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "On"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MS4w"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19HRU5FUkFUSU9OX0lE::MTQxODg4OTEzMQ==::QXV0byBHRU5FUkFUSU9OX0lE"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNTRU1BNFUyM0M2::QXV0byBERVZJQ0U="
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfRE9NQUlO::LTE=::QXV0byBDTE9DS19ET01BSU4="
set_global_assignment -entity "DE0_NANO_SOC_QSYS" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfUkVTRVRfRE9NQUlO::LTE=::QXV0byBSRVNFVF9ET01BSU4="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "YWx0ZXJhX3Jlc2V0X2NvbnRyb2xsZXI="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIFJlc2V0IENvbnRyb2xsZXI="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Rm9yIHN5c3RlbXMgd2l0aCBtdWx0aXBsZSByZXNldCBpbnB1dHMsIHRoZSBNZXJsaW4gUmVzZXQgQ29udHJvbGxlciBPUnMgYWxsIHJlc2V0IGlucHV0cyBhbmQgZ2VuZXJhdGVzIGEgc2luZ2xlIHJlc2V0IG91dHB1dC4="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX1JFU0VUX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIGlucHV0cw=="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "T1VUUFVUX1JFU0VUX1NZTkNfRURHRVM=::ZGVhc3NlcnQ=::T3V0cHV0IFJlc2V0IFN5bmNocm9ub3VzIEVkZ2Vz"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1lOQ19ERVBUSA==::Mg==::U3luY2hyb25pemVyIGRlcHRo"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRVUVTVF9QUkVTRU5U::MQ==::UmVzZXQgcmVxdWVzdCBsb2dpYyBlbmFibGU="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX1dBSVRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCB3YWl0IHRpbWU="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUlOX1JTVF9BU1NFUlRJT05fVElNRQ==::Mw==::TWluaW11bSByZXNldCBhc3NlcnRpb24gdGltZQ=="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UkVTRVRfUkVRX0VBUkxZX0RTUlRfVElNRQ==::MQ==::UmVzZXQgcmVxdWVzdCBkZWFzc2VydCB0aW1pbmc="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4w::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjA="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4x::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4y::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjI="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4z::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjM="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU40::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjQ="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU41::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjU="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU42::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjY="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU43::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjc="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU44::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjg="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU45::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjk="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEw"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEx"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMg==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEy"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xMw==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjEz"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNA==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE0"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU4xNQ==::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcG9ydCByZXNldF9pbjE1"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFU0VUX1JFUVVFU1RfSU5QVVQ=::MA==::RW5hYmxlIHJlc2V0X3JlcSBmb3IgcmVzZXRfaW5wdXRz"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QURBUFRfUkVTRVRfUkVRVUVTVA==::MA==::T25seSBhZGFwdCBvbmx5IHJlc2V0IHJlcXVlc3Q="
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::LTE=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfaXJxX21hcHBlcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVybGluIElSUSBNYXBwZXI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgaW5kaXZpZHVhbCBpbnRlcnJ1cHQgd2lyZXMgdG8gYSBidXMuIEJ5IGRlZmF1bHQsIHRoZSBpbnRlcnJ1cHQgc2VuZGVyIGNvbm5lY3RlZCB0byB0aGUgcmVjZWl2ZXIwIGludGVyZmFjZSBvZiB0aGUgSVJRIG1hcHBlciBpcyB0aGUgaGlnaGVzdCBwcmlvcml0eSB3aXRoIHNlcXVlbnRpYWwgcmVjZWl2ZXJzIGJlaWluZyBzdWNjZXNzaXZlbHkgbG93ZXIgcHJpb3JpdHku"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX1JDVlJT::Mg==::TnVtYmVyIG9mIHJlY2VpdmVycw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VOREVSX0lSUV9XSURUSA==::MzI=::U2VuZGVyIGludGVycnVwdCB3aWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "SVJRX01BUA==::MDowLDE6MQ==::SVJRIG1hcA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzA="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "On"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "TU0gSW50ZXJjb25uZWN0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0U=::NUNTRU1BNFUyM0M2::QXV0byBERVZJQ0U="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfcnNwX211eF8wMDE="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Ng==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NjA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwxLDEsMSwxLDE=::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfcnNwX211eA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MA==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NjA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::bm8tYXJi::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfcnNwX2RlbXV4XzAwMg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::MQ==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfcnNwX2RlbXV4"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::MQ==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfY21kX211eF8wMDI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::MQ==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NjA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MQ==::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfY21kX211eA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBNdWx0aXBsZXhlcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QXJiaXRyYXRlcyBiZXR3ZWVuIHJlcXVlc3RpbmcgbWFzdGVycyB1c2luZyBhbiBlcXVhbCBzaGFyZSwgcm91bmQtcm9iaW4gYWxnb3JpdGhtLiBUaGUgYXJiaXRyYXRpb24gc2NoZW1lIGNhbiBiZSBjaGFuZ2VkIHRvIHdlaWdodGVkIHJvdW5kLXJvYmluIGJ5IHNwZWNpZnlpbmcgYSByZWxhdGl2ZSBudW1iZXIgb2YgYXJiaXRyYXRpb24gc2hhcmVzIHRvIHRoZSBtYXN0ZXJzIHRoYXQgYWNjZXNzIGEgcGFydGljdWxhciBzbGF2ZS4="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX0lOUFVUUw==::Mg==::TnVtYmVyIG9mIG11eCBpbnB1dHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVfQVJC::MQ==::UGlwZWxpbmVkIGFyYml0cmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0VYVEVSTkFMX0FSQg==::MA==::VXNlIGV4dGVybmFsIGFyYml0cmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NjA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0NIRU1F::cm91bmQtcm9iaW4=::QXJiaXRyYXRpb24gc2NoZW1l"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVJCSVRSQVRJT05fU0hBUkVT::MSwx::QXJiaXRyYXRpb24gc2hhcmVz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfY21kX2RlbXV4XzAwMQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Ng==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::Ng==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfY21kX2RlbXV4"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBEZW11bHRpcGxleGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjaGFubmVsaXplZCBkYXRhIG9uIGl0cyBzaW5rIGludGVyZmFjZSBhbmQgdHJhbnNtaXRzIHRoZSBkYXRhIG9uIG9uZSBvZiBpdHMgc291cmNlIGludGVyZmFjZXMu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::UGFja2V0IGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TlVNX09VVFBVVFM=::Mg==::TnVtYmVyIG9mIGRlbXV4IG91dHB1dHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::Ng==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl90cmFmZmljX2xpbWl0ZXI="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBUcmFmZmljIExpbWl0ZXI="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "RW5zdXJlcyB0aGUgcmVzcG9uc2VzIGFycml2ZSBpbiBvcmRlciwgc2ltcGxpZnlpbmcgdGhlIFFzeXMgcmVzcG9uc2UgbmV0d29yay4="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUX0xFTkdUSA==::MQ==::TWF4aW11bSBidXJzdCBsZW5ndGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODI=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::Nzk=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::Nzc=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NTc=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUFYX09VVFNUQU5ESU5HX1JFU1BPTlNFUw==::MQ==::TWF4aW11bSBvdXRzdGFuZGluZyByZXNwb25zZXM="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UElQRUxJTkVE::MA==::UGlwZWxpbmU="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VkFMSURfV0lEVEg=::Ng==::VmFsaWQgd2lkdGg="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RU5GT1JDRV9PUkRFUg==::MQ==::RW5mb3JjZSBvcmRlcg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9IQVpBUkRT::MA==::UHJldmVudCBoYXphcmRz"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NjQ=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NjI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::ODM=::UGFja2V0IHRocmVhZCBJRCBmaWVsZCBpbmRleCBNU0I="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::ODM=::UGFja2V0IHRocmVhZCBJRCBmaWVsZCBpbmRleCBMU0I="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UkVPUkRFUg==::MA==::RW5hYmxlIHJlb3JkZXIgYnVmZmVy"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfcm91dGVyXzAwNA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MA==::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MQ==::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aA==::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MQ==::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MA==::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MA==::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODY=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODI=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MDoxOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MA==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfcm91dGVyXzAwMg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::MSww::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MDEsMTA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::cmVhZCxib3Ro::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4MA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgwLDB4MA==::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODY=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODI=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MTowMToweDA6MHgwOnJlYWQ6MTowOjA6MSwwOjEwOjB4MDoweDA6Ym90aDoxOjA6MDox::U0xBVkVTX0lORk8="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MQ==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MA==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::MQ==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfcm91dGVyXzAwMQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::NCwzLDIsMSw1LDA=::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MTAwMDAwLDAwMDAxMCwwMDAwMDEsMDAxMDAwLDAwMDEwMCwwMTAwMDA=::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3RoLGJvdGgsYm90aCxyZWFkLGJvdGg=::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHgwLDB4NDAwMDAsMHg4MDgwMCwweDgxMDAwLDB4ODEwMDgsMHg4MTAxMA==::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHgxMCwweDgwMDAwLDB4ODEwMDAsMHg4MTAwOCwweDgxMDEwLDB4ODEwMTg=::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwxLDEsMSwxLDE=::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCwwLDAsMCwwLDA=::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCwwLDAsMCwwLDA=::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODY=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODI=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::NDoxMDAwMDA6MHgwOjB4MTA6Ym90aDoxOjA6MDoxLDM6MDAwMDEwOjB4NDAwMDA6MHg4MDAwMDpib3RoOjE6MDowOjEsMjowMDAwMDE6MHg4MDgwMDoweDgxMDAwOmJvdGg6MTowOjA6MSwxOjAwMTAwMDoweDgxMDAwOjB4ODEwMDg6Ym90aDoxOjA6MDoxLDU6MDAwMTAwOjB4ODEwMDg6MHg4MTAxMDpyZWFkOjE6MDowOjEsMDowMTAwMDA6MHg4MTAxMDoweDgxMDE4OmJvdGg6MTowOjA6MQ==::U0xBVkVTX0lORk8="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MQ==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::Mw==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbW1faW50ZXJjb25uZWN0XzBfcm91dGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TWVtb3J5IE1hcHBlZCBSb3V0ZXI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Um91dGVzIGNvbW1hbmQgcGFja2V0cyBmcm9tIHRoZSBtYXN0ZXIgdG8gdGhlIHNsYXZlIGFuZCByZXNwb25zZSBwYWNrZXRzIGZyb20gdGhlIHNsYXZlIHRvIHRoZSBtYXN0ZXIu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVTVElOQVRJT05fSUQ=::Mywy::RGVzdGluYXRpb24gSUQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9JRA==::MTAsMDE=::QmluYXJ5IENoYW5uZWwgU3RyaW5n"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VFlQRV9PRl9UUkFOU0FDVElPTg==::Ym90aCxib3Ro::VHlwZSBvZiBUcmFuc2FjdGlvbg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RBUlRfQUREUkVTUw==::MHg0MDAwMCwweDgwODAw::U3RhcnQgYWRkcmVzc2VzIChpbmNsdXNpdmUp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RU5EX0FERFJFU1M=::MHg4MDAwMCwweDgxMDAw::RW5kIGFkZHJlc3NlcyAoZXhjbHVzaXZlKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Tk9OX1NFQ1VSRURfVEFH::MSwx::Tm9uLXNlY3VyZWQgdGFncw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9QQUlSUw==::MCww::TnVtYmVyIG9mIHNlY3VyZWQgcmFuZ2UgcGFpcnM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFRF9SQU5HRV9MSVNU::MCww::U2VjdXJlZCByYW5nZSBwYWlycw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODY=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODQ=::UGFja2V0IEFYSSBwcm90ZWN0aW9uIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODI=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0xBVkVTX0lORk8=::MzoxMDoweDQwMDAwOjB4ODAwMDA6Ym90aDoxOjA6MDoxLDI6MDE6MHg4MDgwMDoweDgxMDAwOmJvdGg6MTowOjA6MQ==::U0xBVkVTX0lORk8="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVDT0RFUl9UWVBF::MA==::RGVjb2RlciB0eXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9DSEFOTkVM::MQ==::RGVmYXVsdCBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9XUl9DSEFOTkVM::LTE=::RGVmYXVsdCB3ciBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9SRF9DSEFOTkVM::LTE=::RGVmYXVsdCByZCBjaGFubmVs"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "REVGQVVMVF9ERVNUSUQ=::Mw==::RGVmYXVsdCBkZXN0aW5hdGlvbiBJRA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVNT1JZX0FMSUFTSU5HX0RFQ09ERQ==::MA==::TWVtb3J5IEFsaWFzaW5nIERlY29kZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "YWx0ZXJhX2F2YWxvbl9zY19maWZv"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uLVNUIFNpbmdsZSBDbG9jayBGSUZP"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1lNQk9MU19QRVJfQkVBVA==::MQ==::U3ltYm9scyBwZXIgYmVhdA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QklUU19QRVJfU1lNQk9M::OTc=::Qml0cyBwZXIgc3ltYm9s"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RklGT19ERVBUSA==::Mg==::RklGTyBkZXB0aA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Q0hBTk5FTF9XSURUSA==::MA==::Q2hhbm5lbCB3aWR0aA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RVJST1JfV0lEVEg=::MA==::RXJyb3Igd2lkdGg="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1BBQ0tFVFM=::MQ==::VXNlIHBhY2tldHM="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0ZJTExfTEVWRUw=::MA==::VXNlIGZpbGwgbGV2ZWw="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RU1QVFlfTEFURU5DWQ==::MQ==::TGF0ZW5jeQ=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX01FTU9SWV9CTE9DS1M=::MA==::VXNlIG1lbW9yeSBibG9ja3M="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1NUT1JFX0ZPUldBUkQ=::MA==::VXNlIHN0b3JlIGFuZCBmb3J3YXJk"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9GVUxMX0lG::MA==::VXNlIGFsbW9zdCBmdWxsIHN0YXR1cw=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0FMTU9TVF9FTVBUWV9JRg==::MA==::VXNlIGFsbW9zdCBlbXB0eSBzdGF0dXM="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RU5BQkxFX0VYUExJQ0lUX01BWENIQU5ORUw=::ZmFsc2U=::RW5hYmxlIGV4cGxpY2l0IG1heENoYW5uZWw="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "RVhQTElDSVRfTUFYQ0hBTk5FTA==::MA==::RXhwbGljaXQgbWF4Q2hhbm5lbA=="
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV9hZ2VudA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIEFnZW50"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QWNjZXB0cyBjb21tYW5kIHBhY2tldHMgYW5kIGlzc3VlcyB0aGUgcmVzdWx0aW5nIHRyYW5zYWN0aW9ucyB0byB0aGUgQXZhbG9uIGludGVyZmFjZS4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZXhwbGFuYXRpb25zIG9mIHRoZSBidXJzdGluZyBwcm9wZXJ0aWVzLg=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::NzU=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1NZTUJPTF9X::OA==::UGFja2V0IHN5bWJvbCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NTY=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NTc=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NjA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::Nzk=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::Nzc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODI=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::Njc=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::NjU=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NjQ=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NjI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODY=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODQ=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::OTI=::UGFja2V0IHJlc3BvbnNlIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::OTE=::UGFja2V0IHJlc3BvbnNlIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::NzA=::UGFja2V0IGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::Njg=::UGFja2V0IGJ1cnN0IHNpemUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::OTM=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::OTU=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfU1lNQk9MUw==::MA==::YnVyc3Rjb3VudFN5bWJvbHM="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZTX0JVUlNUQ09VTlRfVw==::Mw==::YnVyc3Rjb3VudCB3aWR0aA=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fQ01E::MA==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHRyYW5zYWN0aW9ucw=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UFJFVkVOVF9GSUZPX09WRVJGTE9X::MQ==::UHJldmVudCBGSUZPIG92ZXJmbG93"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUFYX0JZVEVfQ05U::NA==::TWF4aW11bSBieXRlLWNvdW50IHZhbHVl"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUFYX0JVUlNUV1JBUA==::Nw==::TWF4aW11bSBidXJzdHdyYXAgdmFsdWU="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "SUQ=::Mg==::U2xhdmUgSUQ="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9tYXN0ZXJfYWdlbnQ="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIE1hc3RlciBBZ2VudA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "VHJhbnNsYXRlcyBBdmFsb24tTU0gbWFzdGVyIHRyYW5zYWN0aW9ucyBpbnRvIFFzeXMgY29tbWFuZCBwYWNrZXRzIGFuZCB0cmFuc2xhdGVzIHRoZSBRc3lzIEF2YWxvbi1NTSBzbGF2ZSByZXNwb25zZSBwYWNrZXRzIGludG8gQXZhbG9uLU1NIHJlc3BvbnNlcy4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgYW4gZXhwbGFuYXRpb24gb2YgYnVyc3RpbmcgYmVoYXZpb3Iu"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fSA==::ODY=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1BST1RFQ1RJT05fTA==::ODQ=::UGFja2V0IHByb3RlY3Rpb24gZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JFR0lOX0JVUlNU::NzU=::UGFja2V0IGJlZ2luIGJ1cnN0IGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9I::Njc=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUV1JBUF9M::NjU=::UGFja2V0IGJ1cnN0d3JhcCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfSA==::NzA=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1NJWkVfTA==::Njg=::UGFja2V0IGJ1cnN0c2l6ZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfSA==::NzI=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JVUlNUX1RZUEVfTA==::NzE=::UGFja2V0IGJ1cnN0dHlwZSBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0g=::NjQ=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVfQ05UX0w=::NjI=::UGFja2V0IGJ5dGUgY291bnQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfSA==::NTU=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfTA==::MzY=::UGFja2V0IGFkZHJlc3MgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0NPTVBSRVNTRURfUkVBRA==::NTY=::UGFja2V0IGNvbXByZXNzZWQgcmVhZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1BPU1RFRA==::NTc=::UGFja2V0IHBvc3RlZCB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1dSSVRF::NTg=::UGFja2V0IHdyaXRlIHRyYW5zYWN0aW9uIGZpZWxkIGluZGV4"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX1JFQUQ=::NTk=::UGFja2V0IHJlYWQgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0xPQ0s=::NjA=::UGFja2V0IGxvY2sgdHJhbnNhY3Rpb24gZmllbGQgaW5kZXg="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RSQU5TX0VYQ0xVU0lWRQ==::NjE=::UGFja2V0IGV4Y2x1c2l2ZSB0cmFuc2FjdGlvbiBmaWVsZCBpbmRleA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfSA==::MzE=::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfTA==::MA==::UGFja2V0IGRhdGEgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9I::MzU=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0JZVEVFTl9M::MzI=::UGFja2V0IGJ5dGVlbmFibGUgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9I::Nzk=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1NSQ19JRF9M::Nzc=::UGFja2V0IHNvdXJjZSBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfSA==::ODI=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RFU1RfSURfTA==::ODA=::UGFja2V0IGRlc3RpbmF0aW9uIGlkIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9I::ODM=::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1RIUkVBRF9JRF9M::ODM=::UGFja2V0IHRocmVhZCBpZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0g=::OTA=::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gaGlnaA=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0NBQ0hFX0w=::ODc=::UGFja2V0IGNhY2hlIGZpZWxkIGluZGV4IC0gbG93"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfSA==::NzQ=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0RBVEFfU0lERUJBTkRfTA==::NzQ=::UGFja2V0IGRhdGEgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19I::NzY=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1FPU19M::NzY=::UGFja2V0IHFvcyBzaWRlYmFuZCBmaWVsZCBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfSA==::NzM=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX0FERFJfU0lERUJBTkRfTA==::NzM=::UGFja2V0IGFkZHJlc3Mgc2lkZWJhbmQgZmllbGQgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19I::OTI=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBmaWVsZCBpbmRleCAtIGhpZ2g="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX1JFU1BPTlNFX1NUQVRVU19M::OTE=::UGFja2V0IHJlc3BvbnNlIHN0YXR1cyBpbmRleCAtIGxvdw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0w=::OTM=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBsb3c="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "UEtUX09SSV9CVVJTVF9TSVpFX0g=::OTU=::UGFja2V0IG9yaWdpbmFsIGJ1cnN0IHNpemUgaW5kZXggLSBoaWdo"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfREFUQV9X::OTY=::U3RyZWFtaW5nIGRhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1RfQ0hBTk5FTF9X::Ng==::U3RyZWFtaW5nIGNoYW5uZWwgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::Mw==::QXZhbG9uLU1NIGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MQ==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "TUVSTElOX1BBQ0tFVF9GT1JNQVQ=::b3JpX2J1cnN0X3NpemUoOTU6OTMpIHJlc3BvbnNlX3N0YXR1cyg5Mjo5MSkgY2FjaGUoOTA6ODcpIHByb3RlY3Rpb24oODY6ODQpIHRocmVhZF9pZCg4MykgZGVzdF9pZCg4Mjo4MCkgc3JjX2lkKDc5Ojc3KSBxb3MoNzYpIGJlZ2luX2J1cnN0KDc1KSBkYXRhX3NpZGViYW5kKDc0KSBhZGRyX3NpZGViYW5kKDczKSBidXJzdF90eXBlKDcyOjcxKSBidXJzdF9zaXplKDcwOjY4KSBidXJzdHdyYXAoNjc6NjUpIGJ5dGVfY250KDY0OjYyKSB0cmFuc19leGNsdXNpdmUoNjEpIHRyYW5zX2xvY2soNjApIHRyYW5zX3JlYWQoNTkpIHRyYW5zX3dyaXRlKDU4KSB0cmFuc19wb3N0ZWQoNTcpIHRyYW5zX2NvbXByZXNzZWRfcmVhZCg1NikgYWRkcig1NTozNikgYnl0ZWVuKDM1OjMyKSBkYXRhKDMxOjAp::TWVybGluIHBhY2tldCBmb3JtYXQgZGVzY3JpcHRvcg=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U1VQUFJFU1NfMF9CWVRFRU5fUlNQ::MA==::U3VwcHJlc3MgMC1ieXRlZW5hYmxlIHJlc3BvbnNlcw=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "SUQ=::MQ==::TWFzdGVyIElE"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QlVSU1RXUkFQX1ZBTFVF::Mw==::QnVyc3R3cmFwIHZhbHVl"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Q0FDSEVfVkFMVUU=::MA==::Q2FjaGUgdmFsdWU="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "U0VDVVJFX0FDQ0VTU19CSVQ=::MQ==::U2VjdXJpdHkgYml0IHZhbHVl"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9zbGF2ZV90cmFuc2xhdG9y"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIFNsYXZlIFRyYW5zbGF0b3I="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBzbGF2ZSBpbnRlcmZhY2UgdG8gYSBzaW1wbGlmaWVkIHJlcHJlc2VudGF0aW9uIHRoYXQgdGhlIFFzeXMgbmV0d29yayB1c2VzLiBSZWZlciB0byB0aGUgQXZhbG9uIEludGVyZmFjZSBTcGVjaWZpY2F0aW9ucyAoaHR0cDovL3d3dy5hbHRlcmEuY29tL2xpdGVyYXR1cmUvbWFudWFsL21ubF9hdmFsb25fc3BlYy5wZGYpIGZvciBkZWZpbml0aW9ucyBvZiB0aGUgQXZhbG9uLU1NIHNpZ25hbHMgYW5kIGV4cGxhbmF0aW9ucyBvZiB0aGUgYnVyc3RpbmcgcHJvcGVydGllcyBhbmQgYWRkcmVzcyBhbGlnbm1lbnQu"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::OQ==::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MzI=::Q29tcG9uZW50IERhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0RBVEFfVw==::MzI=::TmV0d29yayBEYXRhIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::NA==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0JZVEVFTkFCTEVfVw==::NA==::TmV0d29yayBieXRlZW5hYmxlIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MjA=::TmV0d29yayBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MA==::d3JpdGVXYWl0VGltZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MQ==::cmVhZFdhaXRUaW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfVElNSU5HX1VOSVRT::MQ==::VGltaW5nIHVuaXRz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MQ==::VXNlIHdyaXRlZGF0YQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MQ==::VXNlIHJlYWQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MQ==::VXNlIHdyaXRl"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MQ==::VXNlIGJ5dGVlbmFibGU="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MA==::VXNlIGNoaXBzZWxlY3Q="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MA==::VXNlIGJ1cnN0Y291bnQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MA==::VXNlIHJlYWRkYXRhdmFsaWQ="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MQ==::VXNlIHdhaXRyZXF1ZXN0"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFQllURUVOQUJMRQ==::MA==::VXNlIHdyaXRlYnl0ZWVuYWJsZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0FWX0NMS0VO::MA==::VXNlIGNvbXBvbmVudCBjbGtlbg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1VBVl9DTEtFTg==::MA==::VXNlIG5ldHdvcmsgY2xrZW4="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX09VVFBVVEVOQUJMRQ==::MA==::VXNlIG91dHB1dGVuYWJsZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MQ==::VXNlIGRlYnVnYWNjZXNz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::NA==::U3ltYm9scyBwZXIgd29yZA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MA==::QWRkcmVzcyBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVRVUlSRV9VTkFMSUdORURfQUREUkVTU0VT::MA==::VW5hbGlnbmVkIGFkZHJlc3Nlcw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MA==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::MQ==::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfV1JJVEVfVFJBTlNBQ1RJT05T::MA==::bWF4UGVuZGluZ1dyaXRlVHJhbnNhY3Rpb25z"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MQ==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Q0hJUFNFTEVDVF9USFJPVUdIX1JFQURMQVRFTkNZ::MA==::Q2hpcHNlbGVjdCB0aHJvdWdoIHJlYWQgbGF0ZW5jeQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Q0xPQ0tfUkFURQ==::MTAwMDAwMDAw::Q0xPQ0tfUkFURQ=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlUX0NZQ0xFUw==::MQ==::QVZfUkVBRF9XQUlUX0NZQ0xFUw=="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVF9DWUNMRVM=::MA==::QVZfV1JJVEVfV0FJVF9DWUNMRVM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVF9DWUNMRVM=::MA==::QVZfU0VUVVBfV0FJVF9DWUNMRVM="
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xEX0NZQ0xFUw==::MA==::QVZfREFUQV9IT0xEX0NZQ0xFUw=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "YWx0ZXJhX21lcmxpbl9tYXN0ZXJfdHJhbnNsYXRvcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "QXZhbG9uIE1NIE1hc3RlciBUcmFuc2xhdG9y"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Q29udmVydHMgdGhlIEF2YWxvbi1NTSBtYXN0ZXIgaW50ZXJmYWNlIHRvIGEgc2ltcGxlciByZXByZXNlbnRhdGlvbiB0aGF0IHRoZSBRc3lzIG5ldHdvcmsgdXNlcy4gUmVmZXIgdG8gdGhlIEF2YWxvbiBJbnRlcmZhY2UgU3BlY2lmaWNhdGlvbnMgKGh0dHA6Ly93d3cuYWx0ZXJhLmNvbS9saXRlcmF0dXJlL21hbnVhbC9tbmxfYXZhbG9uX3NwZWMucGRmKSBmb3IgZGVmaW5pdGlvbnMgb2YgdGhlIEF2YWxvbi1NTSBzaWduYWxzIGFuZCBleHBsYW5hdGlvbnMgb2YgdGhlIGJ1cnN0aW5nIHByb3BlcnRpZXMu"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19X::MjA=::Q29tcG9uZW50IGFkZHJlc3Mgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9X::MzI=::Q29tcG9uZW50IERhdGEgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9X::MQ==::Q29tcG9uZW50IGJ1cnN0Y291bnQgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQllURUVOQUJMRV9X::NA==::Q29tcG9uZW50IGJ5dGVlbmFibGUgd2lkdGg="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NfVw==::MjA=::TmV0d29yayBhZGRyZXNzIHdpZHRo"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0JVUlNUQ09VTlRfVw==::Mw==::TmV0d29yayBidXJzdGNvdW50IHdpZHRo"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVBRExBVEVOQ1k=::MA==::cmVhZExhdGVuY3k="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfV1JJVEVfV0FJVA==::MA==::d3JpdGVXYWl0VGltZQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVBRF9XQUlU::MQ==::cmVhZFdhaXRUaW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfREFUQV9IT0xE::MA==::SG9sZCB0aW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfU0VUVVBfV0FJVA==::MA==::c2V0dXBUaW1l"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRB::MQ==::VXNlIHJlYWRkYXRh"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFREFUQQ==::MA==::VXNlIHdyaXRlZGF0YQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUQ=::MQ==::VXNlIHJlYWQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRF::MA==::VXNlIHdyaXRl"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOQlVSU1RUUkFOU0ZFUg==::MA==::VXNlIGJlZ2luYnVyc3R0cmFuc2Zlcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0JFR0lOVFJBTlNGRVI=::MA==::VXNlIGJlZ2ludHJhbnNmZXI="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0JZVEVFTkFCTEU=::MA==::VXNlIGJ5dGVlbmFibGU="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0NISVBTRUxFQ1Q=::MA==::VXNlIGNoaXBzZWxlY3Q="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0FERFJFU1M=::MQ==::VXNlIGFkZHJlc3M="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0JVUlNUQ09VTlQ=::MA==::VXNlIGJ1cnN0Y291bnQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0RFQlVHQUNDRVNT::MA==::VXNlIGRlYnVnYWNjZXNz"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0NMS0VO::MA==::VXNlIG5ldHdvcmsgY2xrZW4="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQUREQVRBVkFMSUQ=::MQ==::VXNlIHJlYWRkYXRhdmFsaWQ="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dBSVRSRVFVRVNU::MQ==::VXNlIHdhaXRyZXF1ZXN0"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX0xPQ0s=::MA==::VXNlIGxvY2s="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1JFQURSRVNQT05TRQ==::MA==::VXNlIHJlYWRyZXNwb25zZQ=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VVNFX1dSSVRFUkVTUE9OU0U=::MA==::VXNlIHdyaXRlcmVzcG9uc2U="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfU1lNQk9MU19QRVJfV09SRA==::NA==::U3ltYm9scyBwZXIgd29yZA=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU19TWU1CT0xT::MQ==::QWRkcmVzcyBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RDT1VOVF9TWU1CT0xT::MA==::QnVyc3Rjb3VudCBzeW1ib2xz"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQ09OU1RBTlRfQlVSU1RfQkVIQVZJT1I=::MA==::Q29tcG9uZW50IGNvbnN0YW50QnVyc3RCZWhhdmlvcg=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0NPTlNUQU5UX0JVUlNUX0JFSEFWSU9S::MA==::TmV0d29yayBjb25zdGFudEJ1cnN0QmVoYXZpb3I="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfTElORVdSQVBCVVJTVFM=::MQ==::bGluZXdyYXBCdXJzdHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfTUFYX1BFTkRJTkdfUkVBRF9UUkFOU0FDVElPTlM=::NjQ=::bWF4UGVuZGluZ1JlYWRUcmFuc2FjdGlvbnM="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQlVSU1RCT1VOREFSSUVT::MA==::YnVyc3RPbkJ1cnN0Qm91bmRhcmllc09ubHk="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfSU5URVJMRUFWRUJVUlNUUw==::MA==::aW50ZXJsZWF2ZUJ1cnN0cw=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQklUU19QRVJfU1lNQk9M::OA==::Qml0cy9zeW1ib2w="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfSVNCSUdFTkRJQU4=::MA==::aXNCaWdFbmRpYW4="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQUREUkVTU0dST1VQ::MA==::Q29tcG9uZW50IGFkZHJlc3MgZ3JvdXA="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "VUFWX0FERFJFU1NHUk9VUA==::MA==::TmV0d29yayBhZGRyZXNzIGdyb3Vw"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJPVVRHT0lOR1NJR05BTFM=::MA==::cmVnaXN0ZXJPdXRnb2luZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfUkVHSVNURVJJTkNPTUlOR1NJR05BTFM=::MA==::cmVnaXN0ZXJJbmNvbWluZ1NpZ25hbHM="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVZfQUxXQVlTQlVSU1RNQVhCVVJTVA==::MA==::QWx3YXlzIGJ1cnN0IG1heC1idXJzdA=="
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfc3c="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "UElPIChQYXJhbGxlbCBJL08p"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Yml0Q2xlYXJpbmdFZGdlQ2FwUmVn::ZmFsc2U=::RW5hYmxlIGJpdC1jbGVhcmluZyBmb3IgZWRnZSBjYXB0dXJlIHJlZ2lzdGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Y2FwdHVyZUVkZ2U=::dHJ1ZQ==::U3luY2hyb25vdXNseSBjYXB0dXJl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGlyZWN0aW9u::SW5wdXQ=::RGlyZWN0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZWRnZVR5cGU=::QU5Z::RWRnZSBUeXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z2VuZXJhdGVJUlE=::dHJ1ZQ==::R2VuZXJhdGUgSVJR"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aXJxVHlwZQ==::RURHRQ==::SVJRIFR5cGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2ltRG9UZXN0QmVuY2hXaXJpbmc=::ZmFsc2U=::SGFyZHdpcmUgUElPIGlucHV0cyBpbiB0ZXN0IGJlbmNo"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "d2lkdGg=::MTA=::V2lkdGggKDEtMzIgYml0cyk="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Y2xvY2tSYXRl::MTAwMDAwMDAw::Y2xvY2tSYXRl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfdHJp::ZmFsc2U=::ZGVyaXZlZF9oYXNfdHJp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfb3V0::ZmFsc2U=::ZGVyaXZlZF9oYXNfb3V0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaW4=::dHJ1ZQ==::ZGVyaXZlZF9oYXNfaW4="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw==::ZmFsc2U=::ZGVyaXZlZF9kb190ZXN0X2JlbmNoX3dpcmluZw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9jYXB0dXJl::dHJ1ZQ==::ZGVyaXZlZF9jYXB0dXJl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9lZGdlX3R5cGU=::QU5Z::ZGVyaXZlZF9lZGdlX3R5cGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pcnFfdHlwZQ==::RURHRQ==::ZGVyaXZlZF9pcnFfdHlwZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9oYXNfaXJx::dHJ1ZQ==::ZGVyaXZlZF9oYXNfaXJx"
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "YWRjX2x0YzIzMDhfZmlmbw=="
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "YWRjX2x0YzIzMDg="
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "UmljaGFyZA=="
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MS4x"
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "Zm9yIERFMi1Tb0MgIChubyBsZXZlbCBzaGlmdCB2ZXJzaW9uKQ=="
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19TSU5LX0NMT0NLX1JBVEU=::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "adc_ltc2308_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTE9DS19TSU5LX0FEQ19DTE9DS19SQVRF::NDAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfcGxsX3N5cw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNTRU1BNFUyM0M2::ZGV2aWNl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RldmljZV9zcGVlZF9ncmFkZQ==::Mg==::RGV2aWNlIFNwZWVkIEdyYWRl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NTAuMA==::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NTAuMCBNSHo=::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::bm9ybWFs::T3BlcmF0aW9uIE1vZGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::bm9ybWFs::b3BlcmF0aW9uX21vZGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::Mw==::TnVtYmVyIE9mIENsb2Nrcw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::Mw==::bnVtYmVyX29mX2Nsb2Nrcw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::NA==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::NDAuMA==::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::MTA=::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::MjAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::OA==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::Mg==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::MTIwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::MTAwLjAwMDAwMCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::NDAuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::MjAwLjAwMDAwMCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T2Zm::UExMIEF1dG8gUmVzZXQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::NCw0LDI1NiwyNTYsZmFsc2UsdHJ1ZSxmYWxzZSxmYWxzZSwyLDIsMSwwLHBoX211eF9jbGssZmFsc2UsZmFsc2UsNSw1LDEsMCxwaF9tdXhfY2xrLGZhbHNlLGZhbHNlLDEsMSwxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwyLDIwLDQwMDAsNDAwLjAgTUh6LDEsZ2NsayxnbGIsZmJfMSxwaF9tdXhfY2xrLGZhbHNl::UGFyYW1ldGVyIFZhbHVlcw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19SRUZDTEtfQ0xPQ0tfUkFURQ==::NTAwMDAwMDA=::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfanRhZ191YXJ0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "SlRBRyBVQVJU"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "YWxsb3dNdWx0aXBsZUNvbm5lY3Rpb25z::ZmFsc2U=::QWxsb3cgbXVsdGlwbGUgY29ubmVjdGlvbnMgdG8gQXZhbG9uIEpUQUcgc2xhdmU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aHViSW5zdGFuY2VJRA==::MA==::aHViSW5zdGFuY2VJRA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVhZEJ1ZmZlckRlcHRo::NjQ=::QnVmZmVyIGRlcHRoIChieXRlcyk="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVhZElSUVRocmVzaG9sZA==::OA==::SVJRIHRocmVzaG9sZA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2ltSW50ZXJhY3RpdmVPcHRpb25z::Tk9fSU5URVJBQ1RJVkVfV0lORE9XUw==::T3B0aW9ucw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dXNlUmVnaXN0ZXJzRm9yUmVhZEJ1ZmZlcg==::ZmFsc2U=::Q29uc3RydWN0IHVzaW5nIHJlZ2lzdGVycyBpbnN0ZWFkIG9mIG1lbW9yeSBibG9ja3M="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dXNlUmVnaXN0ZXJzRm9yV3JpdGVCdWZmZXI=::ZmFsc2U=::Q29uc3RydWN0IHVzaW5nIHJlZ2lzdGVycyBpbnN0ZWFkIG9mIG1lbW9yeSBibG9ja3M="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dXNlUmVsYXRpdmVQYXRoRm9yU2ltRmlsZQ==::ZmFsc2U=::dXNlUmVsYXRpdmVQYXRoRm9yU2ltRmlsZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "d3JpdGVCdWZmZXJEZXB0aA==::NjQ=::QnVmZmVyIGRlcHRoIChieXRlcyk="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "d3JpdGVJUlFUaHJlc2hvbGQ=::OA==::SVJRIHRocmVzaG9sZA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "YXZhbG9uU3BlYw==::Mi4w::YXZhbG9uU3BlYw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bGVnYWN5U2lnbmFsQWxsb3c=::ZmFsc2U=::bGVnYWN5U2lnbmFsQWxsb3c="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZW5hYmxlSW50ZXJhY3RpdmVJbnB1dA==::ZmFsc2U=::ZW5hYmxlSW50ZXJhY3RpdmVJbnB1dA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZW5hYmxlSW50ZXJhY3RpdmVPdXRwdXQ=::ZmFsc2U=::ZW5hYmxlSW50ZXJhY3RpdmVPdXRwdXQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfc3lzaWRfcXN5cw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "U3lzdGVtIElEIFBlcmlwaGVyYWw="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aWQ=::MA==::MzIgYml0IFN5c3RlbSBJRA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19DTEtfQ0xPQ0tfUkFURQ==::MTAwMDAwMDAw::QXV0byBDTE9DS19SQVRF"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "QVVUT19ERVZJQ0VfRkFNSUxZ::Q3ljbG9uZSBW::QXV0byBERVZJQ0VfRkFNSUxZ"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfb25jaGlwX21lbW9yeTI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "T24tQ2hpcCBNZW1vcnkgKFJBTSBvciBST00p"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "YWxsb3dJblN5c3RlbU1lbW9yeUNvbnRlbnRFZGl0b3I=::ZmFsc2U=::RW5hYmxlIEluLVN5c3RlbSBNZW1vcnkgQ29udGVudCBFZGl0b3IgZmVhdHVyZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "YmxvY2tUeXBl::QVVUTw==::QmxvY2sgdHlwZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGF0YVdpZHRo::MzI=::RGF0YSB3aWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZHVhbFBvcnQ=::ZmFsc2U=::RHVhbC1wb3J0IGFjY2Vzcw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aW5pdE1lbUNvbnRlbnQ=::dHJ1ZQ==::SW5pdGlhbGl6ZSBtZW1vcnkgY29udGVudA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bWVtb3J5U2l6ZQ==::MTYwMDAw::VG90YWwgbWVtb3J5IHNpemU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2ltQWxsb3dNUkFNQ29udGVudHNGaWxl::ZmFsc2U=::QWxsb3cgTVJBTSBjb250ZW50cyBmaWxlIGZvciBzaW11bGF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2ltTWVtSW5pdE9ubHlGaWxlbmFtZQ==::MA==::U2ltdWxhdGlvbiBtZW1pbml0IG9ubHkgaGFzIGZpbGVuYW1l"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2xhdmUxTGF0ZW5jeQ==::MQ==::U2xhdmUgczEgTGF0ZW5jeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dXNlTm9uRGVmYXVsdEluaXRGaWxl::ZmFsc2U=::RW5hYmxlIG5vbi1kZWZhdWx0IGluaXRpYWxpemF0aW9uIGZpbGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "d3JpdGFibGU=::dHJ1ZQ==::VHlwZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZWNjX2VuYWJsZWQ=::ZmFsc2U=::RXh0ZW5kIHRoZSBkYXRhIHdpZHRoIHRvIHN1cHBvcnQgRUNDIGJpdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVzZXRyZXF1ZXN0X2VuYWJsZWQ=::dHJ1ZQ==::UmVzZXQgUmVxdWVzdA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU=::REUwX05BTk9fU09DX1FTWVNfb25jaGlwX21lbW9yeTI=::YXV0b0luaXRpYWxpemF0aW9uRmlsZU5hbWU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5::Q3ljbG9uZSBW::ZGV2aWNlRmFtaWx5"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXM=::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::ZGV2aWNlRmVhdHVyZXM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfYWRkcl93aWR0aA==::MTY=::c2xhdmVfYWRkcmVzc193aWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9zZXRfZGF0YV93aWR0aA==::MzI=::c2xhdmVfZGF0YV93aWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9ndWlfcmFtX2Jsb2NrX3R5cGU=::QXV0b21hdGlj::ZGVyaXZlZF9ndWlfcmFtX2Jsb2NrX3R5cGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pc19oYXJkY29weQ==::ZmFsc2U=::ZGVyaXZlZF9pc19oYXJkY29weQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVyaXZlZF9pbml0X2ZpbGVfbmFtZQ==::REUwX05BTk9fU09DX1FTWVNfb25jaGlwX21lbW9yeTIuaGV4::ZGVyaXZlZF9pbml0X2ZpbGVfbmFtZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_NAME "REUwX05BTk9fU09DX1FTWVNfbmlvczJfcXN5cw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DISPLAY_NAME "TmlvcyBJSSBQcm9jZXNzb3I="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_REPORT_HIERARCHY "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_INTERNAL "Off"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_VERSION "MTQuMA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIE5pb3MgSUkgUHJvY2Vzc29y"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaG93VW5wdWJsaXNoZWRTZXR0aW5ncw==::ZmFsc2U=::U2hvdyBVbnB1Ymxpc2hlZCBTZXR0aW5ncw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaG93SW50ZXJuYWxTZXR0aW5ncw==::ZmFsc2U=::U2hvdyBJbnRlcm5hbCBTZXR0aW5ncw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19wcmVjaXNlU2xhdmVBY2Nlc3NFcnJvckV4Y2VwdGlvbg==::ZmFsc2U=::PGh0bWw+ICZuYnNwICZuYnNwICZuYnNwICZuYnNwIFNsYXZlIGFjY2VzcyBlcnJvcjwvaHRtbD4="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19wcmVjaXNlSWxsZWdhbE1lbUFjY2Vzc0V4Y2VwdGlvbg==::ZmFsc2U=::TWlzYWxpZ25lZCBtZW1vcnkgYWNjZXNz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19wZXJmb3JtYW5jZUNvdW50ZXI=::ZmFsc2U=::UGVyZm9ybWFuY2UgY291bnRlcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pbGxlZ2FsTWVtQWNjZXNzRGV0ZWN0aW9u::ZmFsc2U=::SW1wcmVjaXNlIGlsbGVnYWwgbWVtb3J5IGFjY2Vzcw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pbGxlZ2FsSW5zdHJ1Y3Rpb25zVHJhcA==::ZmFsc2U=::SWxsZWdhbCBpbnN0cnVjdGlvbnM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19mdWxsV2F2ZWZvcm1TaWduYWxz::ZmFsc2U=::RnVsbCBNb2RlbHNpbSBzaWduYWxzIGluIHdhdmVmb3Jtcw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHRyYUV4Y2VwdGlvbkluZm8=::ZmFsc2U=::RXh0cmEgZXhjZXB0aW9uIGluZm9ybWF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRQQ0I=::ZmFsc2U=::RXhwb3J0IENQVSBQcm9ncmFtIENvdW50ZXIgKFBDKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kZWJ1Z1NpbUdlbg==::ZmFsc2U=::RGVidWcgU2ltZ2Vu"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19jbGVhclhCaXRzTEROb25CeXBhc3M=::dHJ1ZQ==::Q2xlYXIgWCBkYXRhIGJpdHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaXQzMUJ5cGFzc0RDYWNoZQ==::dHJ1ZQ==::Qml0IDMxIEQtY2FjaGUgYnlwYXNz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaWdFbmRpYW4=::ZmFsc2U=::QmlnIGVuZGlhbg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnRfbGFyZ2VfUkFNcw==::ZmFsc2U=::RXhwb3J0IExhcmdlIFJBTXM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX2VuYWJsZWQ=::ZmFsc2U=::QVNJQyBlbmFibGVk"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hc2ljX3N5bm9wc3lzX3RyYW5zbGF0ZV9vbl9vZmY=::ZmFsc2U=::QVNJQyBTeW5vcHN5cyB0cmFuc2xhdGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19vY2lfZXhwb3J0X2p0YWdfc2lnbmFscw==::ZmFsc2U=::RXhwb3J0IEpUQUcgc2lnbmFscw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaHRJbmRleFBjT25seQ==::ZmFsc2U=::c2V0dGluZ19iaHRJbmRleFBjT25seQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hdmFsb25EZWJ1Z1BvcnRQcmVzZW50::ZmFsc2U=::QXZhbG9uIERlYnVnIFBvcnQgUHJlc2VudA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hbHdheXNFbmNyeXB0::dHJ1ZQ==::QWx3YXlzIGVuY3J5cHQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hbGxvd0Z1bGxBZGRyZXNzUmFuZ2U=::ZmFsc2U=::QWxsb3cgZnVsbCBhZGRyZXNzIHJhbmdl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZVRyYWNl::dHJ1ZQ==::QWN0aXZhdGUgdHJhY2U="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZVRyYWNlX3VzZXI=::ZmFsc2U=::R2VuZXJhdGUgdHJhY2UgZmlsZSBkdXJpbmcgUlRMIHNpbXVsYXRpb24="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZVRlc3RFbmRDaGVja2Vy::ZmFsc2U=::QWN0aXZhdGUgdGVzdCBlbmQgY2hlY2tlcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19lY2Nfc2ltX3Rlc3RfcG9ydHM=::ZmFsc2U=::RW5hYmxlIEVDQyBzaW11bGF0aW9uIHRlc3QgcG9ydHM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZU1vbml0b3Jz::dHJ1ZQ==::QWN0aXZhdGUgbW9uaXRvcnM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19hY3RpdmF0ZU1vZGVsQ2hlY2tlcg==::ZmFsc2U=::QWN0aXZhdGUgUExJIG1vZGVsIGNoZWNrZXI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19IRExTaW1DYWNoZXNDbGVhcmVk::dHJ1ZQ==::SERMIHNpbXVsYXRpb24gY2FjaGVzIGNsZWFyZWQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19IQnJlYWtUZXN0::ZmFsc2U=::SGFyZHdhcmUgYnJlYWsgdGVzdA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19icmVha3NsYXZlb3ZlcmlkZQ==::ZmFsc2U=::TWFudWFsbHkgYXNzaWduIGJyZWFrIHNsYXZl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bXVsZGl2X2RpdmlkZXI=::ZmFsc2U=::SGFyZHdhcmUgZGl2aWRl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bXB1X2VuYWJsZWQ=::ZmFsc2U=::SW5jbHVkZSBNUFU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bW11X2VuYWJsZWQ=::ZmFsc2U=::SW5jbHVkZSBNTVU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bWFudWFsbHlBc3NpZ25DcHVJRA==::dHJ1ZQ==::QXNzaWduIGNwdWlkIGNvbnRyb2wgcmVnaXN0ZXIgdmFsdWUgbWFudWFsbHk="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVidWdfdHJpZ2dlckFybWluZw==::dHJ1ZQ==::VHJpZ2dlciBBcm1pbmc="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVidWdfZGVidWdSZXFTaWduYWxz::ZmFsc2U=::SW5jbHVkZSBkZWJ1Z3JlcSBhbmQgZGVidWdhY2sgU2lnbmFscw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVidWdfYXNzaWduSnRhZ0luc3RhbmNlSUQ=::ZmFsc2U=::QXNzaWduIEpUQUcgSW5zdGFuY2UgSUQgZm9yIGRlYnVnIGNvcmUgbWFudWFsbHk="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX29taXREYXRhTWFzdGVy::ZmFsc2U=::T21pdCBkYXRhIG1hc3RlciBwb3J0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Y3B1UmVzZXQ=::ZmFsc2U=::SW5jbHVkZSBjcHVfcmVzZXRyZXF1ZXN0IGFuZCBjcHVfcmVzZXR0YWtlbiBzaWduYWxz"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVzZXRyZXF1ZXN0X2VuYWJsZWQ=::dHJ1ZQ==::SW5jbHVkZSByZXNldF9yZXEgc2lnbmFsIGZvciBPQ0kgUkFNIGFuZCBNdWx0aS1DeWNsZSBDdXN0b20gSW5zdHJ1Y3Rpb25z"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19yZW1vdmVSQU1pbml0::ZmFsc2U=::UmVtb3ZlIFJBTSBJbml0aWFsaXphdGlvbg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19zaGFkb3dSZWdpc3RlclNldHM=::MA==::TnVtYmVyIG9mIHNoYWRvdyByZWdpc3RlciBzZXRzICgwLTYzKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVidWdfanRhZ0luc3RhbmNlSUQ=::MA==::SlRBRyBJbnN0YW5jZSBJRCB2YWx1ZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVzZXRPZmZzZXQ=::MA==::UmVzZXQgdmVjdG9yIG9mZnNldA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uT2Zmc2V0::MzI=::RXhjZXB0aW9uIHZlY3RvciBvZmZzZXQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Y3B1SUQ=::MA==::PGh0bWw+ICZuYnNwICZuYnNwICZuYnNwICZuYnNwIGNwdWlkIGNvbnRyb2wgcmVnaXN0ZXIgdmFsdWU8L2h0bWw+"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Y3B1SURfc3RvcmVk::MA==::Y3B1SURfc3RvcmVk"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVzZXRTbGF2ZQ==::b25jaGlwX21lbW9yeTIuczE=::UmVzZXQgdmVjdG9yIG1lbW9yeQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uU2xhdmU=::b25jaGlwX21lbW9yeTIuczE=::RXhjZXB0aW9uIHZlY3RvciBtZW1vcnk="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19wZXJmQ291bnRlcldpZHRo::MzI=::UGVyZm9ybWFuY2UgY291bnRlciB3aWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pbnRlcnJ1cHRDb250cm9sbGVyVHlwZQ==::SW50ZXJuYWw=::SW50ZXJydXB0IGNvbnRyb2xsZXI="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19icmFuY2hQcmVkaWN0aW9uVHlwZQ==::QXV0b21hdGlj::QnJhbmNoIHByZWRpY3Rpb24gdHlwZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19iaHRQdHJTeg==::OA==::TnVtYmVyIG9mIGVudHJpZXM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bXVsZGl2X211bHRpcGxpZXJUeXBl::RW1iZWRkZWRNdWxGYXN0::SGFyZHdhcmUgbXVsdGlwbGljYXRpb24gdHlwZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aW1wbA==::RmFzdA==::TmlvcyBJSSBDb3Jl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3NpemU=::NDA5Ng==::SW5zdHJ1Y3Rpb24gY2FjaGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3RhZ3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::VGFnIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aWNhY2hlX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::RGF0YSBSQU0gYmxvY2sgdHlwZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aWNhY2hlX251bVRDSU0=::MA==::TnVtYmVyIG9mIHRpZ2h0bHkgY291cGxlZCBpbnN0cnVjdGlvbiBtYXN0ZXIgcG9ydChzKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aWNhY2hlX2J1cnN0VHlwZQ==::Tm9uZQ==::QnVyc3QgdHJhbnNmZXJzIChidXJzdCBzaXplID0gMzIgYnl0ZXMp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2J1cnN0cw==::ZmFsc2U=::QnVyc3RzIHRyYW5zZmVycyAoYnVyc3Qgc2l6ZSA9IGRhdGEgY2FjaGUgbGluZSBzaXplKQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3ZpY3RpbV9idWZfaW1wbA==::cmFt::RGF0YSBjYWNoZSB2aWN0aW0gYnVmZmVyIGltcGxlbWVudGF0aW9u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGVidWdfbGV2ZWw=::TGV2ZWwx::RGVidWcgbGV2ZWw="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3NpemU=::MjA0OA==::RGF0YSBjYWNoZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3RhZ3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::VGFnIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::RGF0YSBSQU0gYmxvY2sgdHlwZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX251bVRDRE0=::MA==::TnVtYmVyIG9mIHRpZ2h0bHkgY291cGxlZCBkYXRhIG1hc3RlciBwb3J0KHMp"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2xpbmVTaXpl::MzI=::RGF0YSBjYWNoZSBsaW5lIHNpemU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19leHBvcnR2ZWN0b3Jz::ZmFsc2U=::RXhwb3J0IFZlY3RvcnM="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19lY2NfcHJlc2VudA==::ZmFsc2U=::RUNDIFByZXNlbnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pY19lY2NfcHJlc2VudA==::dHJ1ZQ==::SW5zdHJ1Y3Rpb24gQ2FjaGUgRUNDIFByZXNlbnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19yZl9lY2NfcHJlc2VudA==::dHJ1ZQ==::UmVnaXN0ZXIgRmlsZSBFQ0MgUHJlc2VudA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19tbXVfZWNjX3ByZXNlbnQ=::dHJ1ZQ==::TU1VIEVDQyBQcmVzZW50"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kY19lY2NfcHJlc2VudA==::ZmFsc2U=::RGF0YSBDYWNoZSBFQ0MgUHJlc2VudA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19pdGNtX2VjY19wcmVzZW50::ZmFsc2U=::SW5zdHJ1Y3Rpb24gVENNIEVDQyBQcmVzZW50"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "c2V0dGluZ19kdGNtX2VjY19wcmVzZW50::ZmFsc2U=::RGF0YSBUQ00gRUNDIFByZXNlbnQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVnZmlsZV9yYW1CbG9ja1R5cGU=::QXV0b21hdGlj::UkFNIGJsb2NrIHR5cGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "b2NpbWVtX3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::UkFNIGJsb2NrIHR5cGU="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bW11X3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::TU1VIFJBTSBibG9jayB0eXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Ymh0X3JhbUJsb2NrVHlwZQ==::QXV0b21hdGlj::QkhUIFJBTSBCbG9jayBUeXBl"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "cmVzZXRBYnNvbHV0ZUFkZHI=::MjYyMTQ0::UmVzZXQgdmVjdG9y"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZXhjZXB0aW9uQWJzb2x1dGVBZGRy::MjYyMTc2::RXhjZXB0aW9uIHZlY3Rvcg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "YnJlYWtBYnNvbHV0ZUFkZHI=::NTI2MzY4::QnJlYWsgdmVjdG9y"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "bW11X1RMQk1pc3NFeGNBYnNBZGRy::MA==::RmFzdCBUTEIgTWlzcyBFeGNlcHRpb24gdmVjdG9y"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2J1cnN0c19kZXJpdmVk::ZmFsc2U=::ZGNhY2hlX2J1cnN0c19kZXJpdmVk"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX3NpemVfZGVyaXZlZA==::MjA0OA==::ZGNhY2hlX3NpemVfZGVyaXZlZA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGNhY2hlX2xpbmVTaXplX2Rlcml2ZWQ=::MzI=::ZGNhY2hlX2xpbmVTaXplX2Rlcml2ZWQ="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dHJhbnNsYXRlX29u::InN5bnRoZXNpcyB0cmFuc2xhdGVfb24i::dHJhbnNsYXRlX29u"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dHJhbnNsYXRlX29mZg==::InN5bnRoZXNpcyB0cmFuc2xhdGVfb2ZmIg==::dHJhbnNsYXRlX29mZg=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aW5zdEFkZHJXaWR0aA==::MjA=::aW5zdEFkZHJXaWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGF0YUFkZHJXaWR0aA==::MjA=::ZGF0YUFkZHJXaWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMEFkZHJXaWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMUFkZHJXaWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyMkFkZHJXaWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyM0FkZHJXaWR0aA==::MQ==::dGlnaHRseUNvdXBsZWREYXRhTWFzdGVyM0FkZHJXaWR0aA=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjBBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjBBZGRyV2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjFBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjFBZGRyV2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjJBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjJBZGRyV2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg=::MQ==::dGlnaHRseUNvdXBsZWRJbnN0cnVjdGlvbk1hc3RlcjNBZGRyV2lkdGg="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aW5zdFNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdvbmNoaXBfbWVtb3J5Mi5zMScgc3RhcnQ9JzB4NDAwMDAnIGVuZD0nMHg2NzEwMCcgLz48c2xhdmUgbmFtZT0nbmlvczJfcXN5cy5qdGFnX2RlYnVnX21vZHVsZScgc3RhcnQ9JzB4ODA4MDAnIGVuZD0nMHg4MTAwMCcgLz48L2FkZHJlc3MtbWFwPg==::aW5zdFNsYXZlTWFwUGFyYW0="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGF0YVNsYXZlTWFwUGFyYW0=::PGFkZHJlc3MtbWFwPjxzbGF2ZSBuYW1lPSdzdy5zMScgc3RhcnQ9JzB4MCcgZW5kPScweDEwJyAvPjxzbGF2ZSBuYW1lPSdvbmNoaXBfbWVtb3J5Mi5zMScgc3RhcnQ9JzB4NDAwMDAnIGVuZD0nMHg2NzEwMCcgLz48c2xhdmUgbmFtZT0nbmlvczJfcXN5cy5qdGFnX2RlYnVnX21vZHVsZScgc3RhcnQ9JzB4ODA4MDAnIGVuZD0nMHg4MTAwMCcgLz48c2xhdmUgbmFtZT0nanRhZ191YXJ0LmF2YWxvbl9qdGFnX3NsYXZlJyBzdGFydD0nMHg4MTAwMCcgZW5kPScweDgxMDA4JyAvPjxzbGF2ZSBuYW1lPSdzeXNpZF9xc3lzLmNvbnRyb2xfc2xhdmUnIHN0YXJ0PScweDgxMDA4JyBlbmQ9JzB4ODEwMTAnIC8+PHNsYXZlIG5hbWU9J2FkY19sdGMyMzA4LnNsYXZlJyBzdGFydD0nMHg4MTAxMCcgZW5kPScweDgxMDE4JyAvPjwvYWRkcmVzcy1tYXA+::ZGF0YVNsYXZlTWFwUGFyYW0="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Y2xvY2tGcmVxdWVuY3k=::MTAwMDAwMDAw::Y2xvY2tGcmVxdWVuY3k="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmFtaWx5TmFtZQ==::Q3ljbG9uZSBW::ZGV2aWNlRmFtaWx5TmFtZQ=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw==::Mw==::aW50ZXJuYWxJcnFNYXNrU3lzdGVtSW5mbw=="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm8=::PGluZm8vPg==::Y3VzdG9tSW5zdFNsYXZlc1N5c3RlbUluZm8="
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_COMPONENT_PARAMETER "ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv::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::ZGV2aWNlRmVhdHVyZXNTeXN0ZW1JbmZv"

set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "DE0_NANO_SOC_QSYS.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_reset_controller.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_reset_synchronizer.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SDC_FILE [file join $::quartus(qip_path) "submodules/altera_reset_controller.sdc"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_irq_mapper.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_arbitrator.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_traffic_limiter.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_reorder_memory.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_sc_fifo.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_avalon_st_pipeline_base.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_agent.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_burst_uncompressor.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_master_agent.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_slave_translator.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SYSTEMVERILOG_FILE [file join $::quartus(qip_path) "submodules/altera_merlin_master_translator.sv"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_sw.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/adc_ltc2308_fifo.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/adc_ltc2308.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/adc_data_fifo.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_pll_sys.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name QIP_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_pll_sys.qip"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_jtag_uart.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_sysid_qsys.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_onchip_memory2.hex"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_onchip_memory2.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys.ocp"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SDC_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys.sdc"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_bht_ram.mif"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_dc_tag_ram.mif"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ic_tag_ram.mif"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_sysclk.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_tck.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_wrapper.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_mult_cell.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ociram_default_contents.mif"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_oci_test_bench.v"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_a.mif"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name SOURCE_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_b.mif"]
set_global_assignment -library "DE0_NANO_SOC_QSYS" -name VERILOG_FILE [file join $::quartus(qip_path) "submodules/DE0_NANO_SOC_QSYS_nios2_qsys_test_bench.v"]

set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_reset_controller"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "altera_reset_controller" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_irq_mapper"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_irq_mapper" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_mm_interconnect"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_multiplexer"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_demultiplexer"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_traffic_limiter"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "altera_merlin_traffic_limiter" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_router"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_mm_interconnect_0_router" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_avalon_sc_fifo"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "altera_avalon_sc_fifo" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_slave_agent"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "altera_merlin_slave_agent" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_master_agent"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "altera_merlin_master_agent" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_slave_translator"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "altera_merlin_slave_translator" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_merlin_master_translator"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "altera_merlin_master_translator" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_avalon_pio"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sw" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_pll"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_pll_sys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_avalon_jtag_uart"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_jtag_uart" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_avalon_sysid_qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_sysid_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_avalon_onchip_memory2"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_onchip_memory2" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_NAME "altera_nios2_qsys"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_VERSION "14.0"
set_global_assignment -entity "DE0_NANO_SOC_QSYS_nios2_qsys" -library "DE0_NANO_SOC_QSYS" -name IP_TOOL_ENV "Qsys"

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.