OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [gnu-src/] [gcc-4.5.1/] [gcc/] [testsuite/] [gcc.target/] [mips/] [timode-1.c] - Rev 321

Compare with Previous | Blame | View Log

/* { dg-options "-mgp64" } */
typedef int int128_t __attribute__((mode(TI)));
typedef unsigned int uint128_t __attribute__((mode(TI)));
 
#define UINT128_CONST(A, B) \
  (((uint128_t) (0x ## A ## ULL) << 64) | (0x ## B ## ULL))
 
volatile uint128_t a = UINT128_CONST (1111111111111111, a222222222222222);
volatile uint128_t b = UINT128_CONST (0000000000000005, 0000000000000003);
volatile uint128_t c = UINT128_CONST (5dddddddddddddde, e666666666666666);
volatile uint128_t d = UINT128_CONST (e612340000000000, 5000000000234500);
volatile uint128_t e = UINT128_CONST (43f011dddddddddf, 366666666689ab66);
volatile uint128_t f = UINT128_CONST (4210100000000000, 1000000000010100);
volatile uint128_t g = UINT128_CONST (a5e225dddddddddf, 6666666666aaee66);
volatile uint128_t h = UINT128_CONST (e7f235dddddddddf, 7666666666abef66);
volatile uint128_t i = UINT128_CONST (5e225dddddddddf6, 666666666aaee660);
volatile uint128_t j = UINT128_CONST (0a5e225ddddddddd, f6666666666aaee6);
volatile uint128_t k = UINT128_CONST (fa5e225ddddddddd, f6666666666aaee6);
 
volatile int amount = 4;
 
volatile uint128_t result;
 
int
main (void)
{
  result = a * b;
  if (result != c)
    return 1;
 
  result = c + d;
  if (result != e)
    return 1;
 
  result = e - d;
  if (result != c)
    return 1;
 
  result = d & e;
  if (result != f)
    return 1;
 
  result = d ^ e;
  if (result != g)
    return 1;
 
  result = d | e;
  if (result != h)
    return 1;
 
  result = g << amount;
  if (result != i)
    return 1;
 
  result = g >> amount;
  if (result != j)
    return 1;
 
  result = (int128_t) g >> amount;
  if (result != k)
    return 1;
 
  return 0;
}
/* { dg-final { scan-assembler-not "\tjal" } } */
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.