OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [rtos/] [freertos-6.1.1/] [Demo/] [RX600_RX62N-RDK_Renesas/] [RTOSDemo/] [Renesas-Files/] [intprg.c] - Rev 585

Compare with Previous | Blame | View Log

/***********************************************************************/
/*                                                                     */
/*  FILE        :intprg.c                                              */
/*  DATE        :Wed, Aug 11, 2010                                     */
/*  DESCRIPTION :Interrupt Program                                     */
/*  CPU TYPE    :Other                                                 */
/*                                                                     */
/*  This file is generated by Renesas Project Generator (Ver.4.50).    */
/*  NOTE:THIS IS A TYPICAL EXAMPLE.                                    */
/*                                                                     */
/***********************************************************************/
 
 
 
/*********************************************************************
*
* Device     : RX/RX600
*
* File Name  : intprg.c
*
* Abstract   : Interrupt Program.
*
* History    : 1.00  (2009-08-07)
*
* NOTE       : THIS IS A TYPICAL EXAMPLE.
*
* Copyright(c) 2009 Renesas Technology Corp.
*               And Renesas Solutions Corp.,All Rights Reserved. 
*
*********************************************************************/
 
#include <machine.h>
#include "vect.h"
#pragma section IntPRG
 
// Exception(Supervisor Instruction)
void Excep_SuperVisorInst(void){/* brk(); */}
 
// Exception(Undefined Instruction)
void Excep_UndefinedInst(void){/* brk(); */}
 
// Exception(Floating Point)
void Excep_FloatingPoint(void){/* brk(); */}
 
// NMI
void NonMaskableInterrupt(void){/* brk(); */}
 
// Dummy
void Dummy(void){/* brk(); */}
 
// BRK
void Excep_BRK(void){ wait(); }
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.