OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [sim/] [tests/] [debug/] [wave.do] - Rev 24

Compare with Previous | Blame | View Log

onerror {resume}
quietly WaveActivateNextPane {} 0
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_ack_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_adr_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_bte_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_cab_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_cti_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_cyc_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_dat_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_dat_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_err_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_sel_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_stb_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/adbg_wb_we_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/cpu0_rst_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_ack_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_adr_i
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_bp_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_dat_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_dat_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_is_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_lss_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_stall_i
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_stb_i
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_we_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dbg_wp_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_ack_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_adr_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_cab_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_clk_i
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_cyc_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_dat_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_dat_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_err_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_remap_adr_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_remap_nibble
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_remap_select
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_rst_i
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_rty_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_sel_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_stb_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/dwb_we_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_ack_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_adr_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_cab_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_clk_i
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_cyc_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_dat_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_dat_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_err_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_remap_adr_o
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_remap_nibble
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_remap_select
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_rst_i
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_rty_i
add wave -noupdate -format Literal -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_sel_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_stb_o
add wave -noupdate -format Logic -radix hexadecimal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/iwb_we_o
add wave -noupdate -divider {New Divider}
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/capture_dr
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/capture_dr_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_ack_i
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_addr_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_bp_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_clk_i
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_data_i
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_data_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_rst_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_stall_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_stb_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/cpu0_we_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/debug_rst
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/debug_select
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/debug_select_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/debug_tdi
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/debug_tdo
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/drck
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/jtag_tck
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/jtag_tdi
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/jtag_tdo
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/jtag_tms
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/jtag_trst
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/pause_dr_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/rst_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/shift_dr
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/shift_dr_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/tck2
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/tck_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/tdi_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/tdo_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/update2
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/update_dr
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/update_dr_i
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_ack_i
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_adr_o
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_bte_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_cab_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_clk_i
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_cti_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_cyc_o
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_dat_i
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_dat_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_err_i
add wave -noupdate -format Literal /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_sel_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_stb_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/wb_we_o
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/xcapture
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/xselect
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/xshift
add wave -noupdate -format Logic /tb_top/i_tb_dut/i_top/i_or1200_soc_top/i_soc_adv_dbg/xupdate
TreeUpdate [SetDefaultTree]
WaveRestoreCursors {{Cursor 1} {4517800 ps} 0}
configure wave -namecolwidth 226
configure wave -valuecolwidth 115
configure wave -justifyvalue left
configure wave -signalnamewidth 0
configure wave -snapdistance 10
configure wave -datasetprefix 0
configure wave -rowmargin 4
configure wave -childrowmargin 2
configure wave -gridoffset 0
configure wave -gridperiod 1
configure wave -griddelta 40
configure wave -timeline 0
configure wave -timelineunits ps
update
WaveRestoreZoom {0 ps} {21 us}

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.